26个字母手写体(打印)Word下载.doc
- 文档编号:6066178
- 上传时间:2023-05-06
- 格式:DOC
- 页数:2
- 大小:271KB
26个字母手写体(打印)Word下载.doc
《26个字母手写体(打印)Word下载.doc》由会员分享,可在线阅读,更多相关《26个字母手写体(打印)Word下载.doc(2页珍藏版)》请在冰点文库上搜索。
a:
33:
{i:
0;s:
27726:
"中医综合中医诊断学笔记@#@中医诊断学@#@中医诊断学笔记绪论@#@概念:
@#@根据中医学理论体系,运用一定的方法来收集资料,再加以分析判断从而对疾病进行辨证、诊断的一种方法@#@【目的要求】@#@1、掌握中医诊断学的含义、内容,中医诊断的基本原理和原则@#@2、了解中医诊断学的发展简史,明确学习方法@#@第一节中医诊断学发展简史@#@1、公元前五世纪扁鹊@#@“切脉、望色、听声、写形”@#@2、公元前三世纪《黄帝内经》@#@从理论上奠定了中医诊断学的基础@#@3、西汉淳如意创“诊籍”@#@4、东汉张仲景《伤寒论》@#@创六经辨证,概念清楚,层次分明@#@5、东汉华佗《中藏经》@#@论症、论脉、论脏腑寒热虚实,甚为精当@#@中医诊断学发展简史@#@6、西晋王叔和《脉经》为我国最早的脉学专著@#@7、隋巢元方《诸病源候论》,是我国第一部病源诊断的专著@#@8、元朝敖氏《点点金》《金镜录》为论舌的第一部专著@#@9、明朝张景岳《景岳全书》@#@10、明朝李时珍《濒湖脉学》@#@11、清朝林之翰《四诊抉微》四诊并重,色脉并重@#@第二节中医诊断学的范围与原则@#@一、审察内外@#@ 必须从整体上进行多方面的考察,而不能只看到局部的表现。
@#@@#@ 1、人体是一个有机的整体;@#@@#@ 2、人与自然是一个有机的整体;@#@@#@二、辨证求因@#@ 病证结合,以证为主@#@三、中医诊断疾病的基本法则@#@“证”和“症”和概念@#@“证”指的是一组症状群,这类症状具有相同的性质,或由某一共同的病机所引起。
@#@@#@辨证就是对疾病的本质作出决定。
@#@如:
@#@痰热壅肺证肾阴虚证卫分证@#@三、四诊合参@#@ 四诊并重,不可偏废@#@第三节中医诊断学的主要内容@#@
(一)四诊:
@#@是中医诊察收集病情的基本方法@#@望—诊察病人的神、色、形、态@#@闻—听声音、嗅气味@#@问—询问有关疾病的情况@#@切—诊脉和按诊@#@中医诊断学的主要内容@#@
(一)四诊@#@
(二)八纲@#@(三)辨证:
@#@对表现出来的症状确定证型@#@辨证的方法@#@ 病因辨证 气血津液辨证 脏腑辨证 六经辨证 卫气营血辨证@#@第四节学习中医诊断学的方法@#@一、打好基础:
@#@掌握基本理论知识@#@二、博览群书:
@#@以教材为主,兼看一些其它书籍@#@三、参加临床实践:
@#@“熟读王叔和,不如临证多”@#@ @#@@#@第二章四诊@#@第一节望诊@#@望诊注意事项:
@#@@#@
(1)光线@#@
(2)动作熟练、敏捷“一望而得”@#@(3)有步骤、有重点@#@先全身→分部;@#@上→下,头→足@#@望神望色望形态望姿态@#@一、望神@#@
(一)望神的含意:
@#@@#@神是人体生命活动的总称@#@广义──指整个人体生命活动@#@的外在表现:
@#@生命@#@狭义──人体的精神活动:
@#@精神@#@
(二)望神的原理和意义@#@•先天之精→神←滋养后天之精@#@•神、精、气三者同盛同衰@#@•精能生神,神能御精,精足则形健,形健神旺@#@ 望神可以了解脏腑精气的盛衰@#@ “得神者昌,失神者亡”@#@(三)望神的要点@#@•目光(重点)、神情、气色@#@•体态、言谈举止、应答反应@#@得神的临床表现及临床意义@#@得神:
@#@有神、精充气足神旺@#@面色:
@#@面色润泽@#@两目:
@#@活动灵敏、精彩内含、炯炯有神@#@言语呼吸:
@#@言语正常、呼吸气息平顺@#@形态:
@#@形体壮实、肌肉不削、形体活动正常@#@精神:
@#@精神充沛、神清、对外界反应合理@#@饮食:
@#@正常或稍减@#@临床:
@#@正气未伤,脏腑功能未衰,病轻预后好@#@失神的临床表现及临床意义@#@失神:
@#@无神、精损气亏神衰@#@ 面色:
@#@晦暗暴露@#@ 两目:
@#@活动迟钝、目无精采@#@ 言语呼吸:
@#@言语失常、呼吸气息低弱@#@ 形态:
@#@形体瘦弱、大肉已脱、强迫体位、反@#@ 应迟钝、烦躁不安、循衣摸床、摄空理线@#@ 精神:
@#@神志不清,精神萎靡不振,对外界反@#@ 应失常@#@ 临床意义:
@#@正气已伤,脏腑功能衰败(虚)@#@ @#@假神的临床表现及临床意义@#@假神:
@#@垂危病人出现精神暂时好转的假象,@#@临终前预兆(回光反照,残灯复明)@#@面色:
@#@突然颧红如妆@#@两目:
@#@目光突然转亮、浮光外露@#@言语呼吸:
@#@突然言语不休、声音转亮@#@精神:
@#@突然精神转佳、意识似清@#@饮食:
@#@突然思食、索食@#@临床意义:
@#@脏腑精气耗竭,阴阳即将离决@#@阴不敛阳,虚阳外越@#@假神@#@1、神气不足:
@#@轻度失神虚证患者@#@2、神志异常@#@癫--抑郁型(湿痰蒙闭)@#@狂--兴奋型(痰火扰心)@#@痫--发作型(肝风挟痰上扰)@#@望神的注意事项@#@1、重视诊察病人时的第一印象@#@2、做到神形合参@#@3、抓住重要症状和体征@#@4、注意假神与重病好转的区别@#@二、望面色@#@•望色,又称色诊,是医生通过观察病人全身皮肤色泽变化来诊察病情的方法。
@#@@#@•一般以望面部色泽为主@#@
(一)面部色诊原理@#@面部色诊原理:
@#@面部血脉分部丰富@#@“十二经脉,三百六十五络,其气血皆上于面而走空窍”@#@
(1)色泽是脏腑气血之外荣@#@
(2)面色与脏腑有内在联系@#@
(二)面部色诊的意义@#@1、判断气血的盛衰:
@#@@#@2、识别病邪的性质:
@#@@#@3、确定疾病的部位:
@#@@#@气色正常:
@#@脏腑未伤,正气未挫@#@预后较好,其病易治@#@气色不正常:
@#@脏腑已伤,正气已虚,@#@预后不佳,其病难治@#@色与泽的关系@#@色与泽,又称“气色”@#@色属阴,主血,反映血液的盈亏@#@泽属阳,主气,反映脏腑精气和津液盛衰@#@《内经》记载望色的方法@#@
(1)按照五色与五脏对应的关系@#@青--肝@#@赤--心@#@黄--脾@#@白--肺@#@黑--肾@#@按照颜面的脏腑分部位诊图
(1)@#@按照颜面的脏腑分部位诊图
(2)@#@(三)望色十法@#@(四)常色与病色@#@1、常色:
@#@健康人面部的色泽@#@红黄隐隐,明润含蓄──有胃@#@气,有神气@#@
(1)主色──面色、肤色一生不变的色泽@#@
(2)客色──因季节、气候不同而发生正常变化的面色@#@常色@#@2、病色@#@
(1)五色善恶顺逆@#@•善色-五色光明润泽@#@ 虽病脏腑精气未衰,胃气尚荣于面,“气至”,预后良好@#@•恶色-枯槁、晦暗、暴露@#@ 气血匮乏,脏腑精神衰败,不能上荣于面,“气不至”,预后较差@#@《素问 五脏生成篇》@#@ 青如翠羽、赤如鸡冠、黄如蟹腹、@#@白如豕膏、黑如乌羽@#@青如草兹、赤如血、黄如枳实、白如枯骨、黑如炲@#@
(2)五色主病@#@1、青色主寒证、痛证、瘀血和惊风@#@ 面色淡青或青黑-阴寒内盛 @#@ 面色青灰,口唇青紫-心阳虚衰@#@ 面色青灰,突发剧烈心痛-心阳暴脱@#@ 眉间、唇周青灰-小儿惊风@#@2、赤色 主热证,亦可主戴阳证@#@ 实热:
@#@满脸通红、目赤@#@虚热:
@#@午后颧红@#@ 戴阳证:
@#@面红如妆@#@3、黄色 主虚证、湿证@#@虚证:
@#@脾虚──黄胖(黄而虚浮)@#@ 血虚──萎黄(淡黄枯槁无光)@#@黄疸:
@#@阳黄──黄而鲜明如橘子@#@阴黄──黄而晦暗如烟熏@#@4、白色 主虚证、寒证、脱血、夺气@#@ 淡白无华──气血不足@#@ 恍白──阳虚水泛@#@ 苍白──阳气暴脱或阴寒凝滞@#@ 大失血证@#@5、黑色 主肾虚、寒证、痛证、水饮和瘀血 @#@肾虚:
@#@阳虚──面黑暗淡@#@ 阴虚──黑而干焦@#@水饮:
@#@眼眶黑@#@瘀血:
@#@黧黑,肌肤甲错@#@(五)色、脉、证合参@#@•1.注意病色与常色的比较@#@•2.注意整体色诊与分部色诊相结合@#@•3.注意面部色泽的动态变化@#@•4.注意非疾病因素对面色的影响@#@小结@#@1、神的含义、得神、失神、假神@#@2、常色:
@#@主色、客色@#@3、病色:
@#@五色善恶@#@4、五色主病@#@三、望形态@#@望形体@#@扁平胸──肺肾阴虚或气阴两虚@#@桶状胸──肺肾虚损、伏饮积痰@#@鸡胸──先天不足、后天失养@#@呼吸节律不整──多为重病@#@腹部膨隆──肝气郁滞、水肿病、积聚@#@腹壁青筋暴露──多属肝郁血瘀@#@脐部突起──水肿、臌胀@#@腹部凹陷──腹皮甲错,深凹着脊(舟状腹):
@#@@#@精气耗竭,多属病重@#@四肢肿胀──多见于水肿病@#@膝部肿大──热痹:
@#@风湿郁久化热@#@鹤膝风:
@#@寒湿久留气血亏虚@#@龟背──先天不足、或后天调理失宜@#@背曲肩随──心肺精气衰败@#@脊疳──极度消瘦,脊骨突出@#@“膝内翻”(“O”型腿)@#@“膝外翻”(“X”型腿)@#@二者均属先天不足,或后天失养@#@小腿青筋暴露──多属血瘀@#@手指变形──梭状指:
@#@风湿久蕴,筋脉拘挛@#@杵状指:
@#@心肺气虚,血瘀湿阻@#@望姿态@#@•喜动 仰卧 常揭衣被者 阳证@#@•喜静 俯卧 喜加衣被者 阴证@#@•角弓反张肝风内动@#@•循衣摸床,撮空理线邪热扰心@#@四、望头颈五官九窍@#@
(一)望头面颈项与头发@#@
(一)望头面@#@1、头形@#@过小──囟门早闭@#@过大──先天性脑积水@#@均属先天性发育不良,多伴智力不全(肾精亏虚)@#@2、囟门@#@高突:
@#@“囟填”──多属实证、热证@#@下陷:
@#@“囟陷”──多属虚证@#@迟闭、不闭:
@#@“解颅”──肾气不足@#@3、头摇@#@4、面肿水肿:
@#@阳水、阴水@#@火肿:
@#@红肿焮热──火毒邪热上攻@#@5、腮肿:
@#@耳下肿痛──感受时邪热毒@#@6、口眼歪斜:
@#@中风@#@
(二)、望颈项@#@瘿瘤──颈前颌下结喉之处,有肿物如@#@瘤,逐渐长大,可随吞咽移动。
@#@@#@肝郁气结痰凝,或与地方水土有关@#@瘰疬──颈侧颌下,肿块如垒,累累如串@#@珠,历历可数。
@#@@#@肺肾阴虚、感受风火时毒@#@项强──温病火毒上攻,或太阳经证@#@项软──肾气亏虚、或病危@#@颈脉搏动──@#@安静明显:
@#@肝阳上亢(高血压)、水肿病@#@卧位怒张:
@#@哮喘、征忡(心肾阳虚,水气凌心)@#@附特殊面容:
@#@@#@“惊恐貌”──小儿惊风、狂犬病@#@“苦笑貌”──新生儿脐风、破伤风@#@“狮面”──麻风病@#@@#@(三)、头发@#@发黑浓密润泽——肾气盛,精血充足@#@发黄稀疏干枯——精血不足@#@片状脱发——血虚受风@#@白发——肾虚禀赋不足@#@小儿发结如穗——疳积@#@
(二)望目@#@1、五轮学说:
@#@@#@心──内外眦的血络──血轮@#@肝──黑睛──风轮@#@肺──白睛──气轮@#@脾──眼胞──肉轮@#@肾──瞳人──水轮@#@2、诊眼神@#@3、目部色诊@#@目赤肿痛──热证@#@眦赤:
@#@心火@#@白睛赤:
@#@肺火@#@全目赤肿:
@#@肝火、肝经风热上攻@#@眼胞红肿湿烂:
@#@脾火@#@白睛黄──黄疸(湿热、寒湿)、脂肪沉着@#@目眦淡白──血虚、失血@#@目胞色黑晦暗──肾虚@#@4、目形主病@#@目胞浮肿──水肿@#@老人下睑虚肿:
@#@肾脾气虚,失于约束@#@目窠凹陷──伤津耗液,气血不足@#@眼球突出──瘿瘤、肺胀、肿瘤(单侧)@#@针眼、眼丹──风热邪毒或脾胃蕴热上攻@#@眼生翳膜(白内障)、胬肉攀睛@#@昏睡露晴──脾虚、疳积@#@5、目态主病@#@瞳孔缩小──肝胆火炽、中毒(川乌、有机磷农药)@#@瞳孔扩大──肾精耗竭、中风、绿风内障@#@目翻上视、瞪目直视、戴眼反折──危重@#@眼睑下垂──脾肾双亏、外伤@#@(三)望耳@#@正常人──耳廓红润,厚薄适中@#@(肾精充足)@#@1、色泽变化:
@#@@#@润枯——润:
@#@肾气充足枯:
@#@先天肾阴不足@#@淡白──气血亏虚,白而薄肾败(垂危)@#@青黑──痛、寒@#@干枯焦黑──肾精亏耗@#@红肿胀大──肝胆湿热、热毒上攻@#@耳背络红、耳根发凉──小儿麻疹先兆@#@2、形态变化:
@#@@#@肉厚润泽──先天之肾精充足@#@耳薄干枯──肾精不足@#@耳廓甲错──久病瘀血、肠痈@#@3、耳道分泌物@#@脓耳──耳内流脓:
@#@肝胆湿热,肾阴不足、虚火上炎@#@(四)望鼻@#@1、五色变化@#@鼻头色青——腹中冷痛@#@鼻头色黄——里有湿热@#@鼻头色白——亡血@#@鼻头色赤——脾肺二经有热@#@鼻头色微黑——有水气@#@鼻头色明润——无病或病将愈@#@2、形态变化与意义:
@#@@#@鼻红肿生疮──胃热、血热@#@鼻端色红生粉刺──酒齄鼻:
@#@肺胃蕴热@#@鼻柱塌陷,眉毛脱落──麻风恶候@#@鼻煽──肺热、哮喘、肺气将绝(久病)@#@鼻流清涕──外感风寒或阳气虚弱@#@鼻流浊涕──外感风热@#@鼻流腥臭脓涕(鼻渊)──肝胆湿热@#@鼻衄──肺胃蕴热、阴虚肺燥灼伤鼻络@#@(五)望口唇@#@1、色泽@#@唇色红润:
@#@胃气充足,气血调和@#@淡白──血虚、气血两虚(血不上荣)@#@深红──实热深红而干──热盛伤津@#@赤肿而干──热极@#@紫暗或暗黑──瘀血@#@2、形态变化与意义@#@
(1)口唇干裂──热盛伤津,阴虚火旺@#@
(2)口角流涎──小儿脾虚湿盛或成人中风@#@(3)口唇靡烂──色红:
@#@脾胃积热@#@淡红:
@#@虚火@#@鹅口疮:
@#@心脾积热@#@(4)口唇生疮──疔、疮:
@#@火毒郁结@#@(5)口腔粘膜斑点──麻疹粘膜斑@#@口撮──上下口唇紧聚:
@#@小儿脐风、破伤风@#@口僻──口角向左或右歪斜:
@#@中风@#@口角掣动──动风之象@#@(六)望齿与龈@#@1、望齿@#@牙齿洁白润泽──津液内充,肾气充足@#@干燥如枯骨──阴液已伤(胃阴或肾精)@#@牙齿松动──肾虚@#@咬牙啮齿──动风、小儿虫积、胃有积滞@#@2、望龈@#@正常──色淡红而明润:
@#@胃气充足,气血调匀@#@淡白──血虚、失血@#@牙龈肿痛──胃火上炎@#@齿衄──兼红肿:
@#@胃火上炎@#@ 红肿不甚:
@#@脾虚失摄@#@(七)望咽喉@#@1、红肿痛:
@#@红肿、疼痛、溃烂、脓点(乳蛾)@#@──实热(肺胃热毒壅盛)@#@红色娇嫩,肿痛不甚@#@──虚热(肾水亏少,虚火上炎)@#@2、伪膜松厚、易剥、不出血:
@#@肺胃热盛@#@坚韧、着实、刮不去、出血:
@#@白喉@#@3、辨脓液@#@咽喉局部红肿高大,有波动感——脓已成@#@压之坚硬——脓未成@#@(八)望下窍@#@1、望前阴@#@阴囊肿──水疝:
@#@阴囊水肿@#@ 狐疝:
@#@疝气@#@阴挺──子宫下垂:
@#@脾虚下陷@#@阴部湿疹──肝胆湿热下注@#@
(二)后阴@#@肛痈──湿热下注或外感热毒@#@肛裂──燥热@#@痔疮──风燥湿热@#@肛瘘──同肛痈、痔疮@#@脱肛──中气下陷@#@五、望皮肤@#@
(一)色泽@#@发赤──丹毒(抱头火丹、流火、赤游丹)@#@发黄──黄疸:
@#@阳黄、阴黄 @#@发黑──肾阳虚衰@#@白斑──白殿风:
@#@风湿侵袭,气血不荣@#@
(二)润枯@#@皮肤润燥── 干涩:
@#@津液已伤@#@ 如鱼鳞片:
@#@肌肤甲错@#@(三)肿胀@#@肿胀──近按之如泥:
@#@水肿(阳水、阴水)@#@按之随手而起:
@#@气胀(气机不畅)@#@(四)痘疮@#@1、天花@#@2、水痘@#@(五)斑疹@#@斑──色深红或青紫,点大成片,平摊于皮肤下,抚之不碍手,压之不褪色。
@#@@#@阳斑、阴斑@#@疹──形如粟粒,色红而高起,摸之碍手,压之褪色@#@麻疹、风疹、瘾疹@#@(六)白与水疱@#@白—湿温等患者皮肤上出现的白色小颗@#@粒,多由湿郁,汗出不畅所致@#@痱子──湿郁湿出不彻(暑湿、湿温)@#@热气疮──外感风热或肺胃蕴热@#@缠腰火丹——多为肝火所致@#@湿疹──湿热蕴结,复感风邪@#@(七)痈疽疔疖@#@痈:
@#@红肿高大,根盘紧缩(阳证)@#@疽:
@#@漫肿无边,皮色不变(阴证)@#@疔:
@#@形小根深,麻木痒痛@#@疖:
@#@形小而圆,红肿热痛不甚@#@六、望络脉@#@
(一)诊小儿食指络脉(3岁前)@#@正常络脉:
@#@色浅红,红黄相兼,隐隐于风关之内。
@#@@#@其形态多为斜形、单支,粗细适中。
@#@@#@形色主病@#@浅浮──主表(邪浅、病轻)@#@沉滞──主里(邪深、病重)@#@@#@色泽辨寒热@#@紫热红伤寒,青惊白是疳@#@三关测轻重@#@风关──邪浅病轻@#@气关──邪深病重@#@命关──危重@#@透关射甲──凶@#@
(二)望鱼际络脉@#@(三)望指甲形色@#@七、望排出物与分泌物@#@
(一)望痰涎涕唾@#@1、痰与涕@#@痰黄粘稠成块──热痰@#@痰白清稀,灰黑点──寒痰@#@痰清稀而多泡沫——风痰@#@痰少而粘难咯──燥痰@#@痰白滑量多易咯出──湿痰@#@痰中带血、鲜红──热伤肺络@#@脓血腥臭痰──肺痈@#@咳吐涎沫,口张气短——肺痿@#@鼻流浊涕——外感风热@#@鼻流清涕——外感风寒@#@久流浊涕——鼻渊@#@2、涎与唾@#@口流清涎量多──脾胃虚寒(脾冷)@#@口中时吐粘涎──脾胃湿热(脾热)@#@不自主流涎──中风后遗症@#@“滞颐”(小儿口角流涎)──脾虚、胃热虫积@#@@#@
(二)望呕吐物@#@小结:
@#@@#@名词解释:
@#@1、解颅 囟填 囟陷 @#@2、瘿瘤瘰疬@#@3、斑疹痈疽疔疖@#@简答:
@#@1、何谓“五轮学说”@#@2、试述痰的性状与病机@#@3、试述小儿指纹色泽的临床意义@#@八、 望舌@#@•概念:
@#@是通过观察舌质、舌苔的变化以诊察疾病的方法@#@•目的要求:
@#@@#@•1、掌握舌色、苔色的主病及其机理@#@•2、掌握舌态、苔质的主病及其机理@#@•3、了解相兼舌的分析方法@#@•重点:
@#@舌色苔色主病 @#@•难点:
@#@舌态、苔质变化与主病@#@舌诊历史简介@#@1、《黄帝内经》@#@2、张仲景 《伤寒杂病论》@#@3、宋代有了我国第一部舌诊专著:
@#@@#@《敖氏伤寒金镜录》@#@4、叶天士《温病条辨》注重“温病察舌”@#@一、舌诊基础@#@
(一)舌的结构与舌象的物质基础@#@舌的结构@#@舌的结构@#@舌正面图@#@舌的显微结构@#@
(二)脏腑经络与舌象@#@1、舌为心之苗,手少阴心经之别系舌本@#@
(二)脏腑经络与舌象形成的关系@#@2、舌为脾之外候,足太阴脾经连舌本,散舌下@#@
(二)舌与脏腑的关系@#@3、肾藏精,足少阴肾经挟舌本@#@精气神与舌象@#@舌苔和舌体的润燥与津液的盈亏有关@#@唾为肾液;@#@涎为脾液(金津、玉液)@#@舌诊脏腑部位分布图@#@(三)舌诊的方法和注意事项@#@
(一)望舌的体位和伸舌姿势@#@1、坐位或卧位2、自然伸出@#@
(二)望舌的方法@#@1、先看舌尖,再舌中,舌侧,最后看舌根部@#@2、刮舌:
@#@以鉴别舌苔真伪@#@诊舌的注意事项@#@1、光线影响:
@#@变色@#@2、饮食或药品影响:
@#@染苔@#@3、口腔对舌象的影响:
@#@齿痕、干燥等@#@正常舌象及生理差异@#@
(一)正常舌象:
@#@ 淡红舌、薄白苔@#@ 舌体大小适中,柔软灵活,舌苔均匀@#@干湿适中--为气血充足,阳气旺盛@#@
(二)舌象的生理差异@#@1、年龄因素:
@#@儿童多淡嫩;@#@老人多紫暗@#@2、体质因素:
@#@有先天性裂纹舌、齿痕舌、地图舌等,但无任何临床表现@#@3、性别因素:
@#@无明显差异@#@4、气候因素:
@#@@#@夏季炎热潮湿,舌苔略黄厚腻@#@ 秋季气候干燥,舌象微干而欠润@#@四、望舌质@#@概念:
@#@即舌体的颜色、形态的变化@#@分类正常舌象:
@#@淡红舌@#@病理舌象:
@#@舌色变浅—淡白舌@#@舌色加深—红绛舌、青紫舌@#@
(一)察舌神@#@
(二)望舌色@#@淡白舌红舌绛舌紫舌青舌@#@
(1)淡白舌@#@
(2)红舌实热虚热(阴虚)@#@(3)绛舌@#@(4)紫舌@#@淡紫舌:
@#@为气虚寒凝,温运无力所致@#@绛紫舌:
@#@热盛伤津,血凝所致@#@淡红瘀点:
@#@气滞血瘀所致 @#@注意点:
@#@青紫舌主病的两重性@#@绛紫舌色红,干枯少津--热盛血瘀@#@淡紫舌色白,湿润多津--寒凝血瘀@#@(三)望舌形@#@老舌、嫩舌胖大舌、肿胀舌@#@瘦薄舌点剌舌裂纹舌@#@
(1)老嫩@#@老:
@#@舌体坚敛苍老,纹理粗糙,舌色较暗;@#@@#@ -- 主实证@#@嫩:
@#@纹理细腻,舌色浅多津;@#@ @#@ -- 主虚证@#@
(2)肿胀:
@#@舌体较正常大而厚@#@ 淡白而胖大--气虚、阳虚;@#@@#@ 红肿而胖大--心脾积热;@#@@#@(3)瘦小舌:
@#@舌体较正常瘦小而薄;@#@@#@ 淡白而瘦小--气血两虚;@#@@#@ 红绛而瘦小,少苔或无苔--阴虚火旺;@#@@#@(4)裂纹舌:
@#@舌表面有各种形状的裂纹@#@ 红而干燥有裂--热盛伤津;@#@@#@ 淡白有裂--阴血不足;@#@@#@(5)芒剌:
@#@舌乳头增生,肥大,高起如剌,邪热亢盛;@#@@#@ 舌尖--心火亢盛@#@ 舌中--胃火亢盛@#@(四)望舌态@#@
(1)强硬:
@#@热入心包 痰浊内阻 中风先兆@#@
(2)痿软:
@#@气血两虚 阴液枯竭@#@(3)震颤:
@#@气血亏虚 肝风内动@#@(4)吐弄:
@#@心脾有热 动风先兆@#@(5)歪斜:
@#@肝风内动 痰瘀阻络@#@(6)短缩:
@#@寒凝经脉 热灼筋痿 @#@二、望舌苔@#@舌苔为胃气上蒸所形成。
@#@@#@正常为薄白苔@#@舌苔的变化 苔色的变化@#@ 苔质的变化@#@1、舌苔@#@白苔黄苔灰黑苔@#@
(1)白苔:
@#@主表证、寒证@#@ 白而干裂如积粉--内热伤津,瘟疫暴起@#@
(2)黄苔:
@#@主里证、热证@#@ 黄色有浅黄与焦黄的不同@#@ 黄色越深则表示热越深@#@(3)灰苔:
@#@主热证、寒湿证@#@ 灰而干燥--热甚伤津@#@ 灰而滑润--内有寒湿@#@(4)黑苔:
@#@主里证(热极或寒极)@#@ 多由灰苔发展而来@#@ 黑而干燥--热极津枯@#@ 黑而滑润--寒湿内盛@#@2、舌质@#@
(1)厚薄:
@#@见底/不见底@#@
(2)润燥:
@#@反映津液状况@#@ 润苔--为津液未伤,过润则为水湿内停;@#@@#@ 燥苔--为津液已伤,主热盛;@#@@#@ 由润变燥--病情加重;@#@@#@ 由燥变润--病情好转;@#@@#@(3)腐腻:
@#@@#@ 腐苔--如豆腐渣 主胃气衰败;@#@@#@ 腻苔--如油状物 主湿浊内盛;@#@@#@(4)剥脱苔:
@#@主胃阴大伤、正气受损@#@ 全部脱落--镜面舌、猪腰舌@#@ 部分脱落--地图舌@#@小结@#@淡白舌:
@#@主虚寒证@#@红绛舌:
@#@主热证(有实热与虚热之分)@#@青紫舌:
@#@主瘀血证(有寒热之分)@#@舌色的现代研究@#@1、淡白舌:
@#@与红细胞减少、白蛋白合成障碍、血浆蛋白偏低、组织水肿有关。
@#@@#@2、红绛舌:
@#@@#@A、高热、脱水、维生素缺乏,电解平衡失调等造成体内“阴”不足;@#@可作为弥漫性血管内凝血早期诊断的参考;@#@@#@B、慢性病出现的阴虚舌以舌红少苔,舌体瘦薄,舌面干燥是由于舌的粘膜及小唾液腺萎缩、变性所致;@#@@#@3、青紫舌:
@#@与静脉瘀血、血流缓慢、血粘度增高、毛细血管扭曲畸形、微循环障碍因子有关。
@#@多见于肝胆系疾病和心脏病、癌肿患者。
@#@@#@病例分析@#@第二节闻 诊@#@概念:
@#@听声音、嗅气味@#@【目的要求】@#@1掌握呼吸、语言、咳嗽、发声等变化及其临床意义。
@#@@#@2熟悉呕吐、呃逆、嗳气、太息、肠鸣等变化的一般临床意义,口气、汗以及病室气味等变化及其临床意义。
@#@@#@一、听声音@#@声音的产生除了发音器官外,还与脏腑功能有关@#@“言为心声”@#@“气动则有声”@#@“音声之器,在心为言,在肺主声,然由肾间动气上出于舌,而后能发其声”@#@“听声审音,可察盛衰之存亡”@#@正常声音@#@发声自然声调和谐@#@柔和圆润言与意符@#@语声变化与情志的关系@#@喜——发声快乐而和缓@#@怒——发声急厉@#@哀——发声悲惨而断续@#@敬——发声严肃@#@爱——发声温柔而愉悦@#@病变声音@#@
(一)发声@#@1、语声重浊:
@#@外感风寒,肺气失宣@#@2、嘶哑音哑——发音困难@#@失音——完全不能发音@#@ 实证:
@#@肺气不宣,清肃失职(金实不鸣)@#@ 虚证:
@#@肺肾阴虚,虚火灼金(金破不鸣)@#@ 妊娠:
@#@胞胎阻碍经脉,肾精不能上荣@#@3、呻吟:
@#@痛楚结合姿态来判断(护处必痛)@#@4、惊呼:
@#@@#@ 小儿-惊风、惊恐、疼痛、食积等@#@ 成人-剧痛,病位在骨节、脏腑@#@ “喜惊呼者,骨节间病” @#@ 痫病-口中如作猪羊声 @#@
(二)语言@#@
(1)谵语:
@#@神识不清,语无伦次,声高有力@#@---热扰神明(实证)@#@
(2)郑声:
@#@神识不清,语言重复,时断时续,声音低弱@#@ ---心气大伤(虚证)@#@(3)独语:
@#@自言自语,喃喃不休,首尾不续,见人则止@#@ ——气郁痰结(癫症)@#@(4)错语:
@#@语言错乱,说后自知@#@——心脾两虚@#@(5)狂言:
@#@语无伦次,骂詈不避亲疏@#@ ——痰火扰心@#@(6)语言謇涩:
@#@吐字困难,思维正常@#@ ——中风先兆和后遗症@#@(三)呼吸@#@虚、寒:
@#@气微而慢@#@实、热:
@#@气粗而快@#@•喘:
@#@呼吸困难,气促,甚则张口抬肩,不能平卧@#@实证:
@#@病邪壅塞肺气,气机不利@#@虚证:
@#@肺虚不能主气,肾虚不能纳气@#@•哮:
@#@呼吸急促,喉中有痰鸣声,时发时止@#@宿痰内伏,复感外邪@#@呼吸异常@#@气短—呼吸急而短,数而不能接续,似喘而不抬肩,主症有虚实之分@#@少气—呼吸微弱,短而声低,气少不足以息,多见于虚证。
@#@气虚所致@#@“短气者,气短不能续息也;@#@少气者,气少而不能称形也”@#@(四)咳嗽@#@咳——有声无痰;@#@嗽——有痰无声;@#@@#@“五脏六腑皆令人咳,非独肺也”@#@实证—咳声重浊@#@虚证—咳声无力,声低气怯@#@外感:
@#@风寒、风热、风燥@#@内伤:
@#@阴虚、痰湿、留饮,表现为肺失宣降,@#@";i:
1;s:
12155:
"主机托管服务品质协议书@#@合同订立原则@#@平等原则:
@#@@#@根据《中华人民共和国合同法》第三条:
@#@“合同当事人的法律地位平等,一方不得将自己的意志强加给另一方”的规定,平等原则是指地位平等的合同当事人,在充分协商达成一致意思表示的前提下订立合同的原则。
@#@这一原则包括三方面内容:
@#@①合同当事人的法律地位一律平等。
@#@不论所有制性质,也不问单位大小和经济实力的强弱,其地位都是平等的。
@#@②合同中的权利义务对等。
@#@当事人所取得财产、劳务或工作成果与其履行的义务大体相当;@#@要求一方不得无偿占有另一方的财产,侵犯他人权益;@#@要求禁止平调和无偿调拨。
@#@③合同当事人必须就合同条款充分协商,取得一致,合同才能成立。
@#@任何一方都不得凌驾于另一方之上,不得把自己的意志强加给另一方,更不得以强迫命令、胁迫等手段签订合同。
@#@@#@自愿原则:
@#@@#@根据《中华人民共和国合同法》第四条:
@#@“当事人依法享有自愿订立合同的权利,任何单位和个人不得非法干预”的规定,民事活动除法律强制性的规定外,由当事人自愿约定。
@#@包括:
@#@第一,订不订立合同自愿;@#@第二,与谁订合同自愿,;@#@第三,合同内容由当事人在不违法的情况下自愿约定;@#@第四,当事人可以协议补充、变更有关内容;@#@第五,双方也可以协议解除合同;@#@第六,可以自由约定违约责任,在发生争议时,当事人可以自愿选择解决争议的方式。
@#@@#@公平原则:
@#@@#@根据《中华人民共和国合同法》第五条:
@#@“当事人应当遵循公平原则确定各方的权利和义务”的规定,公平原则要求合同双方当事人之间的权利义务要公平合理具体包括:
@#@第一,在订立合同时,要根据公平原则确定双方的权利和义务;@#@第二,根据公平原则确定风险的合理分配;@#@第三,根据公平原则确定违约责任。
@#@@#@诚实信用原则:
@#@@#@根据《中华人民共和国合同法》第六条:
@#@“当事人行使权利、履行义务应当遵循诚实信用原则”的规定,诚实信用原则要求当事人在订立合同的全过程中,都要诚实,讲信用,不得有欺诈或其他违背诚实信用的行为。
@#@主机托管服务品质协议书@#@ 甲方:
@#@_________________________@#@ 乙方:
@#@_________________________@#@ 为规范乙方所提供的网络资源服务及相关客户服务的品质,使甲方能够有效监控乙方的服务,保证所获得的服务品质,甲乙双方经友好协商,特签订如下协议。
@#@@#@ 第一条 网络联通性保证@#@ 一、“网络联通性”是指甲方托管于乙方数据中心的网络设备同ChinaNet是否联通。
@#@“网络联通”是指:
@#@乙方分配给甲方的网络端口可以与ChinaNet相联通;@#@“网络不联通”是指:
@#@乙方分配给甲方的网络端口与ChinaNet不联通。
@#@@#@ 二、乙方保证甲方网络系统99.9%的联通性,即每月不联通时间少于44分钟。
@#@@#@ 三、乙方如果在任何一个月中不能达到第一条第2点的服务品质,乙方将免收甲方的当月租用费。
@#@@#@ 四、乙方可根据甲方要求,为甲方计算每月中“网络不联通”时间,“网络不联通”包括乙方造成的甲方设备不联通的时间(分钟数),但不包括网络不联通的5天之内甲方未向乙方报告的情况,以及以下原因所导致的甲方网络系统不联通:
@#@@#@ 五、乙方经甲方同意进行网络维护所引起的;@#@@#@ 六、任何甲方的电路或者设备引起的;@#@@#@ 七、甲方的应用程序或安装活动所引起的;@#@@#@ 八、甲方的疏忽或由甲方授权的操作所引起的;@#@@#@ 九、有不可抗力所引起的;@#@@#@ 十、甲方导致的其它原因。
@#@@#@ 第二条 电力的持续供应保证@#@ 一、“电力的持续供应”是指:
@#@乙方向甲方提供的市电或UPS电源具有电力;@#@“电力中断”是指:
@#@乙方向甲方提供的市电及UPS电源同时不具有电力。
@#@@#@ 二、乙方保证甲方网络系统的电力的持续供应99.9%的可用性。
@#@@#@ 三、乙方如果在任何一个月中不能遵守第二条第2点规定的服务品质,乙方将免收甲方的当月租费。
@#@@#@ 四、“电力中断时间”包括由乙方造成的甲方网络电力中断的时间(分钟数),但不包括网络电力中断的5天之内甲方并未向乙方报告的情况,以及由以下原因所引起的电力中断:
@#@@#@ 4.1 任何甲方的电路或设备;@#@@#@ 4.2 甲方的应用或安装活动;@#@@#@ 4.3 甲方的疏忽或由甲方授权乙方进行的操作;@#@@#@ 4.4 由不可抗力所引起的。
@#@@#@ 第三条 初装保证@#@ 一、乙方的初装服务内容随甲方选择的服务项不同而不同,按照甲方的要求而具体包括:
@#@@#@ 1.1 整机租用服务@#@ 1.1.1 服务器硬件安装,调试@#@ 1.1.2 焦点标准系统软件安装,调试@#@ 1.1.3 电力供应的安装@#@ 1.1.4 网络联接的安装@#@ 1.2 主机托管服务@#@ 1.2.1 电力供应的安装@#@ 1.2.2 网络联接的安装@#@ 1.3 机柜整租服务@#@ 1.3.1 电力供应的安装@#@ 1.3.2 网络联接的安装@#@ 二、初装工作时间保证@#@ 2.1 整机租用:
@#@服务合同签定后3个工作日内@#@ 2.2 主机托管:
@#@服务合同签定后3个工作日内@#@ 2.3 机柜整租:
@#@服务合同签定后3个工作日内@#@ 若乙方未能按照上述规定完成初装工作,乙方将退还甲方该次初装工作收取的初装服务费。
@#@@#@ 第四条 紧急情况报告保证@#@ 一、乙方的标准程序将每5分钟ping一次甲方网络设备。
@#@如果甲方的网络社备在连续2次每次5分钟的ping过程中没有相应,乙方将认为服务已经不可获得,已处于紧机状况。
@#@@#@ 二、乙方将通过甲方选择的方式(办公室电话,手机,传呼机,传真,Email)联络甲方指定的授权人。
@#@@#@ 三、在获得甲方正式授权前,乙方无权对甲方系统设备进行任何操作。
@#@@#@ 四、乙方可进行紧急情况监测的服务包括甲方网站系统中:
@#@@#@ 4.1 WWW应用是否正在运作@#@ 4.2 Email应用是否正在运作@#@ 4.3 FTP应用是否正在运行@#@ 五、乙方的“报告保证”将在乙方断定甲方不能得到乙方服务的15分钟之内通知甲方。
@#@@#@ 六、甲方需负责向乙方提供指定授权人准确的联络信息以便乙方与其联络。
@#@@#@ 七、当由于甲方的疏忽造成甲方所提供的指定授权人的联络信息乙经过时或不准确或(在应用服务协议中所定义的)不可抗力的原因,免除乙方“报告保证”的责任。
@#@@#@ 八、乙方未能履行“报告保证”时将根据甲方的要求,扣减当月租费中1天的款项。
@#@@#@ 九、甲方每天最多只能获得1次扣减,不论乙方一天中有几次未能履行“报告保证”。
@#@@#@ 第五条 客户服务保证@#@ 一、乙方客户服务专员向甲方提供如下服务@#@ 1.服务项目和业务项目的咨询@#@ 2.初装工作处理和情况汇报@#@ 3.服务内容变更处理@#@ 4.投诉受理和处理情况汇报@#@ 5.缴费和续费咨询及处理@#@ 6.保证为甲方指定客户服务专员负责甲方的售后服务工作@#@ 第六条 技术支持保证@#@ 一、乙方技术支持工程思向甲方提供如下服务:
@#@@#@ 1.1 服务器系统状态监测,可根据甲方需要为其提供数据流量统计页面分析,对客户服务器进行实时监控,并在服务器上出现。
@#@@#@ 1.2 紧急情况通知@#@ 1.3 授权下的服务器操作@#@ 1.4 技术问题咨询@#@ 二、保证为甲方指定1名技术工程师负责甲方的售后服务工作。
@#@@#@ 三、乙方技术工程师每天24小时,每周7天,每年365日工作。
@#@@#@ 四、甲方需要乙方工程师直接对其服务器进行操作时,需要向乙方工程师书面授权。
@#@@#@ 五、甲方需指定甲方授权人,只有授权人有权利要求乙方工程师对其服务器进行操作。
@#@@#@ 六、书面授权必须有甲方授权人的签字。
@#@当甲方授权人无法以书面形式授权时,可以通过电话向乙方工程时授权,事后需立即补交书面操作授权书。
@#@甲方使用电话进行授权时,必须向乙方提供甲方的MRTG用户名和密码,经乙方工程师核实准确后,方接受授权并进行相应的授权操作。
@#@如甲方未能提供准确的MRTG用户名和密码,乙方将视其为非法授权,并有权拒绝进行操作。
@#@@#@ 第七条 技术操作保证@#@ 1.乙方工程师在得到甲方授权后方可对甲方系统进行授权的无责任操作。
@#@@#@ 2.乙方工程师在得到授权后30分钟内向甲方提供第一次反馈并在操作结束后提供一份书面形式的操作情况报告。
@#@@#@ 3.乙方不承担由于甲方授权操作而对甲方系统所产生的任何影响及其责任。
@#@@#@ 第八条 投诉保证@#@ 一、甲方可以书面形式对乙方及乙方某个员工投诉:
@#@@#@ 1.网络品质@#@ 2.客户服务质量@#@ 3.技术支持质量@#@ 二、乙方设立投诉专线受理甲方投诉。
@#@@#@ 三、乙方在受理甲方投诉后的24个小时内向甲方提供第一份书面形式的投诉处理情况报告。
@#@@#@ 第九条 机房开放保证@#@ 一、乙方数据中心机房向甲方开放,甲方可以根据需要在乙方技术人员的陪同下进入焦点机房进行合理的操作。
@#@@#@ 二、甲方进入机方时需由乙方工作人员陪同并向保安人员出示乙方配发的有效证件方能被允许进入。
@#@@#@ 三、甲方需要进入机房时,需要先向乙方数据中心管理人员提前说明:
@#@@#@ 3.1 进入原因@#@ 3.2 将进行的活动(操作,参观等)@#@ 预计进入时间@#@ 预计辞出时间@#@ 所需协同作业(工具,软件,食宿安排等)@#@ 第十条 不可抗力@#@ 一、本承诺中规定的不可抗力包括:
@#@@#@ 1.1 地震,台风,洪水等自然灾害;@#@@#@ 1.2 战争,罢工,停电等不可抗力因素;@#@@#@ 1.3 由法律法规及政府行政行为的原因而导致的中断;@#@@#@ 1.4 电信线路被人为破坏或ChinaNet的线路,设备因调试,扩容所引起的中断;@#@@#@ 1.5 其它非乙方原因而被第三者不法侵害所引起的中断。
@#@@#@ 二、当由于上述原因而试乙方无法履行保证时,以方不承担责任。
@#@@#@ 第十一条 本协议有效期一年。
@#@@#@ 本协议一式二份,甲乙双方各执一份。
@#@甲方(盖章):
@#@___________ 乙方(盖章):
@#@____________@#@代表人(签字):
@#@_________ 代表人(签字):
@#@__________@#@地址:
@#@___________________ 地址:
@#@____________________@#@邮码:
@#@___________________ 邮码:
@#@____________________@#@联系电话:
@#@_______________ 联系电话:
@#@________________@#@电子邮箱:
@#@_______________ 电子邮箱:
@#@________________@#@_________年_____月_____日 _________年_____月______日@#@签订地点:
@#@_______________ 签订地点:
@#@________________@#@";i:
2;s:
17281:
"教师工作手册模板@#@ @#@@#@教师工作手册@#@(—学年学期)@#@ @#@@#@学校@#@学科@#@年级@#@班次@#@教师@#@昆明市教育局制@#@前言@#@全市中学从一九八七学年统一使用《教师工作手册》至今,对完善教学管理,促进教学改革,提高教学质量,培育“四有”新人,起到了积极作用。
@#@@#@为了坚持贯彻落实一九八五年八月原昆明市教育局制订、颁行的《教师工作职责》与《教师教学常规》,树立严谨教风,提高教学效益,现特根据教学管理需要和学校所提建议,对原《手册》作适当修订,并于一九九三学年前提供各校使用。
@#@@#@一、各校要从严治教,坚持全面贯彻教育方针,把坚定正确的政治方向放在第一位,以教学为中心,向管理要质量,按教学规律办事,在认真总结使用原《手册》的得失、经验、问题的基础上,扬长避短:
@#@讲求实效地抓好新版的《教师工作手册》的使用。
@#@《手册》的使用、查阅等有关工作由校长负责部署,教务主任组织实施。
@#@要讲清道理、加强指导、总结交流经验、提高使用实效。
@#@一学年用一册。
@#@@#@二、《手册》所填内容,是教师劳动态度和教学成果的重要体现之一。
@#@要尊重教师的辛勤劳动,将其作为对教师工作考核、评比、奖励、评职、晋级等的一个重要依据;@#@并作为教学业务档案的重要组成部分,由教务处在一学年结束时,审阅合格、加盖公章,存入教师业务档案。
@#@@#@三、查阅《手册》是掌握、研究教学情况,指导、促进结果教学工作的重要一环,必须严肃对待。
@#@何时查阅?
@#@如何查阅?
@#@要纳入工作计划,列进学校校历。
@#@查阅要加以分析,适时讲评、发扬优点、克服缺点、表扬好的、促进差的。
@#@@#@四、认真填写《手册》是每一教师履行工作职责、落实教学常规、反映教学成果、积累教学经验的需要。
@#@必须自觉填好,并按时送交学校查阅和存档。
@#@@#@五、教委中教处将采取赴校调阅、各校汇报、报表统计等多种办法、检查学校使用、查阅《手册》的情况,并将其结果通报全市中学。
@#@教师工作职责@#@教师工作职责@#@教师是工人阶级的一部分,是文化知识的传播者,是人类灵魂的工程师,在办好学校、教好学生、多出人才、出好人才、实现四化、振兴祖国中肩负着光荣而艰巨的任务,必须在“三个面向”指引下,遵循党的教育方针,“教好功课,爱护学生,以身作则,努力学习,”为培养“有理想、有道德、有文化、有纪律、热爱社会主义祖国和社会主义事业”的新一代作出无愧于我们伟大时代的贡献。
@#@@#@一、教好功课,讲求实效。
@#@@#@1.认真备课:
@#@要深入钻研教学大纳和教材,熟悉所教学科教材内容和知识体系,了解学生的实际情况,根据大纲要求,结合学生水平,在每学期开学前订出《学期授课计划》,在每一课(或章节)上课前写出切实可行的《教案》(内容包括教学的目的、要求、方法、重点、难点、时间、安排、作业、等等),为上课做好充分准备。
@#@坚持无教案不上讲台,坚持个人备课与集体备课相结合。
@#@@#@2.认真上课:
@#@要自觉改革陈腐的传统教育思想和教学方法,大大发扬实事求是,敢于创新的精神;@#@重视抓好双基教学,培养学生智能;@#@废止注入式和满堂灌,运用启发式教学方法,使学生知其然,也知其所以然,把教师的主导作用与学生的主体作用统一起来,按时完成教学计划,努力提高教学质量。
@#@@#@3.认真辅导:
@#@要认真指导、检查、督促学生预习、复习、做好作业、耐心解答学生的疑难问题;@#@要因材施教,有的放矢,对好、中、差学生分别提出要求,给予辅导;@#@要始终重视帮助学生具有明确的学习目的,良好的自学方法,认真的学习态度。
@#@@#@4.批改作业:
@#@布置作业要做到精选、系统、适量,对作业的检查要严格要求,认真作批改、并作好评讲工作,讲求实效。
@#@@#@5.认真检查教学效果:
@#@要认真、适当进行考查和考试,研究和改进考试方法,进行考试质量分析,发现教学缺陷要及时弥补,尽可能让学生学好。
@#@@#@二、爱护学生,教书育人。
@#@@#@1.要全面深入地了解学生,关怀学生,循循善诱,管教管导,既讲严格,也讲耐心,成为学生的良师益友。
@#@@#@2.要充分发掘、利用教材中思想因素,在课堂教学中和谐地结合文化科学知识的讲授,对学生进行思想品德教育;@#@要认真组织好课堂教学,维护课堂纪律,发现问题,当堂解决;@#@学生违反纪律,要按有关规定处理,不得擅自撵走学生,轰出教室。
@#@@#@3.对学生的成绩和进步,要满腔热忱地给以鼓励和表扬;@#@对学生的缺点和错误,要从爱护学生出发,耐心说服教育,使其自觉改正;@#@对屡教无效的,要按有关规定处理,防止简单、粗暴的做法,严禁体罚或变相体罚。
@#@@#@三、努力学习、自强不息。
@#@@#@1.要努力学习马列主义毛泽东思想;@#@学习时事、,政治、提高政策和理论水平,加强品德修养,提高思想觉悟。
@#@@#@2.要结合教学业务,通过自学、进修等方式,通晓所任学科的专业知识,掌握所任学科的必要技能,不断更新和充实知识,提高自己的业务素质。
@#@@#@3.重视学习教育理论,钻研教学规律;@#@既虚心借鉴别人的经验,更重视总结自己的教学得失;@#@要实事求是,勇于创新,在教学实践中努力形成自己的特点和风格。
@#@@#@四、以身作则,重视师德。
@#@@#@1.要处处以身作则,在政治方向、思想品德、教育教学工作表现和自己的言谈举止等方面为学生作出表率。
@#@@#@2.要忠诚人民的教育事业,坚持党的基本路线,十分重视师德,自觉遵守“师德公约”,做到“五讲四美三热爱”,全心全意为人民服务。
@#@@#@教师教学常规@#@为了遵循教学规律,搞好教学工作,提高教学质量,切实教好学生,现特制订教师教学常规,作为对教师工作的基本要求和考核依据。
@#@@#@一、深入钻研,订好计划。
@#@@#@1.要在认真研究教学大纲,熟悉所教教材,了解学生实际的基础上,确定教学目的要求,主要“双基”内容,思想教育因素,培养智能措施,教学方法步骤,教学重点难点,进而订好(学期(或学年)教学计划),在学期(或学年)开学前交教研室审议,教务科审批后执行。
@#@@#@2.教务科要在每学期的期初、期末组织检查《学期(或学年)教学计划》的制订、审议与执行情况,将结果记入教师业务档案。
@#@@#@二、认真备课,写好教案。
@#@@#@备课是上课的前提,做好的基础。
@#@@#@教案是备课的结晶,教学的蓝本。
@#@@#@对教学工作高度负责的教师,都会认真备好课,自觉写教案。
@#@@#@1.要认真备课。
@#@@#@①熟悉大纲:
@#@弄清本学科的教学目的,教材安排,教学方法,教学原则以及在教学中应注意的问题。
@#@@#@②吃透教材:
@#@掌握教材的主次、先后、重点、难点、关键,以及知识间的内在联系,发展规律,确定对教材中的练习,实验的运用、增删和改革。
@#@对难度较大、把握不大的练习、实验,要先做一遍。
@#@@#@③了解学生,对学生的思想状况,知识基础,接受能力,兴趣爱好,要心中有数;@#@在考虑教材处理,作业布置等问题时,要因班制宜,因人而异,面向全体学生,照顾中差学生。
@#@@#@④琢磨教法:
@#@从认识发展规律,所教教材特点,学生实际水平出发,设计教学步骤,琢磨教学方法。
@#@@#@⑤集思广益:
@#@重视个人智慧与集体智慧的发挥,坚持个人备课与集体备课的结合。
@#@对教学目的、“双基”内容、重点、难点、作业布置、教学进度、等等,在集体备课中,要协调意见,基本统一。
@#@2.要写好教案。
@#@①要写清章节和课时的教学目的、具体要求、重点难点、教学过程、讲练内容、板书布局、作业安排、实验准备,等等。
@#@@#@②教案要在上课前一周写好。
@#@教务处和教研组可随时或定期检查教案,要组织教师互相观摩教案,借鉴好的教案。
@#@@#@三、认真上课,讲求实效。
@#@@#@1.尊重教学规律,坚持教学原则,要搞启发式,不搞注入式;@#@处理好课堂教学中的主导与主体,讲授与练习,知识与智能,听懂与学会,共性与个性等关系。
@#@@#@2.充分发挥教师的主导作用,指导学生预习、听课、发言、读书、笔记、观察、思维、实验、作业、总结、复习、考试,等等,培养其良好学风,提高其自学能力。
@#@@#@3.充分发挥学生的主体作用,要求学生坚持“两先两后一总结”(先预习、后听课;@#@先复习,后作业;@#@学习告一段落自觉总结学习得失,理顺、深化、巩固所学知识)的学习方法;@#@重视总结自己学习的经验。
@#@@#@4.灵活使用教案,讲求教学效益。
@#@上课不能没有教案,教学不可拘泥教案。
@#@在课堂教学中要努力做到:
@#@.@#@①目的明确——突出重点,突破难点;@#@@#@③内容正确——揭示本质,不要讲错;@#@@#@③教法得当——切合实际,善于启发;@#@@#@④语言准确——简洁明白,生动形象;@#@@#@⑤听懂学会——当堂听懂,基本掌握。
@#@@#@5.组织好课堂教学,维护好教学秩序。
@#@教师以身作则,预备铃响,候在教室门口;@#@上课铃响,从容进入教室;@#@不压堂;@#@不早退;@#@不随意把学生赶出教室;@#@坚持管教管导,教书育人。
@#@@#@四、作业练习,重在效果。
@#@@#@学生作业的布置与检查,是课堂教学的继续,对加深理解,巩固记忆,培养能力,开发智力有重要意义。
@#@@#@1.作业布置,要从教学目的与学生实际出发,有利于学生基础知识的消化,基本技能的训练,自学能力的提高;@#@要有的放矢,数质适当,不搞题海战术,重在做题质量;@#@对不同程度的学生,在所做习题的难易,数量上要有所区别;@#@基础题,综合题,必做题,重点题,一般题,做哪些,做多少,要因人而异。
@#@@#@2.要指导学生“先复习,后作业”。
@#@善思考,敢创新,坚持独立、按时完成作业;@#@作业的书写、行款、格式、要求、要作统一、明确、具体的规定,使学生受到严格训练,做到一丝不苟。
@#@教师板书、做题,……要给学生以示范。
@#@@#@3.作业的收发、批改、评讲要及时、认真;@#@作业处理是否全收全改、抽收抽改、当面批改、要从实际出发,讲求效益;@#@每一学期,对每个学生的作业要重点批改若干次。
@#@@#@4.教务处,教研组要把检查学生作业的布置与批改形成制度。
@#@@#@五、重视辅导,因人制宜。
@#@@#@辅导学生是教师应尽的责任。
@#@个别辅导,因人而异是培养学生才能,帮助较差学生的有效办法。
@#@@#@1.个别辅导要有计划,有目的地进行,要确定辅导的对象、内容、方式、时间,重视辅导实效。
@#@.@#@2.对学习“尖子”,要提出较高要求,给以必要指导,促其不断提高;@#@对中差学生,特别是差生,要从其实际水平出发,多给鼓励,耐心帮助,促其逐步提高。
@#@@#@3.对课外学科小组,参加学科竞赛的学生,要给予扎实而具体的指导;@#@要指导学生课外阅读,培养学生运用参考资料和使用工具书的能力。
@#@@#@六、适时复习,严格考核。
@#@@#@1.要培养学生边学习、边复习、边巩固的学习习惯。
@#@要把平时复习与阶段复习结合起来。
@#@“双基"@#@的重点、关键、要深刻理解,牢固掌握,熟练运用。
@#@学完一课(或一章一单元)后,要引导学生理顺、归纳、小结所学知识。
@#@对学生知识上的缺漏、问题要及时弥补、解决;@#@学期、学年、毕业时的总复习,要适时进行,给学生留有消化、整理知识的余地。
@#@@#@2.对学生学习成绩的考查与考试,是为了检查教学效果,分析教学得失,总结经验教训,改进教学工作,既要考知识,又要考能力。
@#@不要过于频繁,不搞形式主义。
@#@在平时考查中,要注意观察,冷静分析学生的成绩与问题,指导学生改进学习,不断提高。
@#@平时的作业、练习、实验、测验、等等,该记分的要记分。
@#@学期、毕业考核,要出好考试试题,严格考场纪律,及时批阅试卷,重视质量分析,树立良好考风。
@#@七、检查质量、珍视总结。
@#@1.要通过各个渠道,检查教学效益。
@#@①开展听课活动,注意教学效果;@#@②以课堂提问、练习、测验、实验以及课外作业、课外活动中了解学生掌握、运用知识的状况;@#@③用个别座谈、口头、书面等多种方式,征求、听取学生及其家长对教学的反映;@#@④学期考后,要重点分析一个班的学习质量;@#@⑤注意积累资料,数据要实事求是地对比分析教学质量,扬长避短,改进教学。
@#@@#@2.要重视总结工作,不断积累经验。
@#@每一学期,每一学年,要对教学任务的完成情况,学生学习情况,主要经验体会,存在问题和改进意见认真研究和总结一下。
@#@学校要组织教学经验的交流和推广。
@#@@#@学期教学计划@#@一、教学目的及要求@#@(写清全学期双基教学,发展智力,培养能力,思想教育的目的及要求)@#@二、教学内容及进度@#@周@#@教学内容@#@计划课时(节)@#@实@#@用@#@课@#@时@#@备注@#@上@#@课@#@作文@#@或@#@实验@#@测@#@验@#@合@#@计@#@周@#@教学内容@#@计划课时(节)@#@实@#@用@#@课@#@时@#@备注@#@上@#@课@#@作文@#@或@#@实验@#@测@#@验@#@合@#@计@#@二、教改项目及措施@#@查阅学期教学计划登记@#@月@#@日@#@查阅者@#@评分@#@评语@#@签名@#@教研组长@#@年级组长@#@教务主任@#@副校长@#@校长@#@教学工作记要@#@考试质量分析@#@一、成绩统计@#@班级@#@全@#@班@#@人@#@数@#@实@#@考@#@人@#@数@#@总@#@平@#@均@#@分@#@总@#@及@#@格@#@率@#@80@#@分@#@以@#@上@#@60@#@|@#@79@#@分@#@59@#@|@#@40@#@分@#@39@#@|@#@20@#@分@#@备注@#@1.命题:
@#@@#@2.监考:
@#@@#@3.评分:
@#@@#@二、质量分析@#@(①基本状况;@#@②质量评估;@#@③主要问题;@#@④改进意见)@#@查阅考试质量分析登记@#@月@#@日@#@查阅者@#@评分@#@评语@#@签名@#@教研组长@#@年级组长@#@教务主任@#@副校长@#@校长@#@教学辅导及作业批改登记@#@周@#@到班辅导@#@个别辅导@#@作业布置@#@作业批改@#@查阅登记@#@次@#@人@#@次@#@人@#@次@#@题@#@次@#@题@#@有关教学活动登记@#@任课@#@共班@#@共节@#@教案@#@应写个@#@实写个@#@学校查阅次@#@听课@#@应听节@#@实听节@#@填听课表份@#@上教研课题目@#@级别(市、县、校、组)@#@举办讲座题目@#@级别(市、县、校、组)@#@辅导竞赛取得名次@#@(姓名、项目、名次、级别)@#@课外文体、科技@#@等活动辅导@#@项目每周人次@#@发表教学论文及交流教学@#@级的题目上,级别@#@进修@#@单位@#@形式@#@学科@#@成绩@#@出勤@#@病假天@#@事假天@#@旷工天@#@政治学习@#@应到次@#@实到次@#@业务学习@#@应到次@#@实到次@#@年级组活动@#@应到次@#@实到次@#@其它活活动@#@应到次@#@实到次@#@奖惩@#@教学工作总结@#@(①执行学期教学计划的得失、经验、问题;@#@②今后改进教学工作的打算及措施)@#@查阅教学工作总结@#@月@#@日@#@查阅者@#@评分@#@评语@#@签名@#@教研组长@#@年级组长@#@教务主任@#@副校长@#@校长@#@填写及查阅《手册》评分@#@评@#@项分@#@目@#@满分@#@本人@#@教研@#@组长@#@年级@#@组长@#@教务@#@主任@#@备注@#@⒈教学计划@#@20@#@⒉质量分析@#@30@#@⒊教学总结@#@30@#@⒋有关项目@#@20@#@合计@#@100@#@说明@#@⒈一、四项,如实、认真填写者,即打满分@#@⒉二、三两项,视分析及总结的质量缎带分@#@学期@#@ @#@@#@查阅@#@ @#@@#@评语@#@ @#@@#@年月日@#@";i:
3;s:
7916:
"JetFamily杰特发无针水光@#@JetFamilyX1@#@高压雾化导入仪用户手册@#@ @#@@#@尊敬的用户:
@#@@#@非常感谢您选择JetFamily公司的美容仪器产品。
@#@@#@为了更好地使用JetFamily公司的美容仪产品,以获得最佳的效果并避免故障。
@#@请保证在进行任何操作之前要认真阅读整个用户手册。
@#@@#@真诚的@#@JetFamily@#@1.1简介@#@X1高压雾化导入仪采用航空技术,利用高压射流原理,凭借高速气流推动需导入的护肤品,护肤品以超细微、超高速、直线喷出高压射流的方式,在不伤害表皮细胞的基础上,直接导入皮肤真皮层。
@#@真正无创伤,舒适无痛,避免了其他导入带来的疼痛和水肿,是一款能真正的非损伤性的全新多功能高压雾化导入平台。
@#@@#@可导入深度如下:
@#@@#@ @#@@#@1.2参数@#@该设备连接的电源插座必须符合电气安全法规。
@#@@#@警告:
@#@@#@为了避免触电的风险,此设备必须连接到一个具有接地保护的供电电源。
@#@@#@供电电压:
@#@220VAC@#@额定工作电流:
@#@3A@#@瞬间最高电流:
@#@5A@#@1.3附件(如图所示)@#@电源线脚踏开关@#@ @#@@#@雾化手柄导气管@#@@#@ @#@@#@保险管耳塞@#@ @#@@#@1.4仪器安装与使用@#@1,将电源线、脚踏开关插入仪器背部对应接口,如下图所示。
@#@@#@ @#@@#@2,将导气管插入仪器前端插口,注意豁口方向。
@#@@#@@#@ @#@@#@3,连接导气管与雾化手柄@#@ @#@@#@4,打开红色的主电源开关,待仪器显示屏出现下面界面时,点击Start,踩住脚踏开关,高压气体喷出。
@#@@#@加减按钮为设置工作时间,时间结束后,仪器自动停止工作。
@#@重新点击Start,仪器可再次工作。
@#@@#@ @#@@#@1.5特别说明@#@1,该仪器压缩机在启动和停止时,会产生震动,为正常现象。
@#@@#@2,压缩机在运作时,会产生大量的热量,需保持仪器良好的通风。
@#@@#@3,仪器背部下端有排水口,无气体输出时,仪器会自动排水。
@#@@#@4,仪器具有压力自动调节功能,压力过大时,自动调压,会有“噗、噗”放气声音。
@#@此为正常现象。
@#@@#@ @#@@#@1.6雾化手柄说明及易损件建议:
@#@@#@一,使用前请保证雾化手柄管路内部无残留液体;@#@@#@二,使用时,将雾化手柄穿刺头插入生理盐水瓶/液体瓶的适当位置,以保证液体流速正@#@常无气泡;@#@@#@三,使用结束后,必须将本雾化手柄清洗干净,方法如下:
@#@在仪器工作状态下,将穿刺穿刺器@#@器放入纯净水中,使雾化手柄吸入3-5ml纯净水,然后拿出雾化手柄穿刺器,并继续输出@#@气体直至手柄软管内无液体。
@#@@#@故障排除:
@#@@#@一,使用过程中出现不雾化或雾化断断续续的现象,检查液体导管是否有气泡的存在@#@1,若有气泡存在,则需在雾化时用手指堵住雾化针孔1秒钟,然后松开手@#@指,这样可以使气体回流液体瓶并重新进液排出气泡。
@#@@#@2,若无气泡存在,则为雾化手柄堵塞。
@#@@#@二,雾化手柄堵塞的处理:
@#@@#@将雾化手柄从仪器上取出,用50℃温水浸泡3分钟。
@#@然后连接仪器,输出气体,并@#@使用纯净水雾化冲洗1分钟。
@#@若无改善,重复以上步骤。
@#@如最终无法处理堵@#@塞问题,则需更换新的雾化手柄。
@#@@#@ @#@@#@品名@#@喷射雾化手柄@#@连接导气管@#@机器轮子@#@脚踏开关@#@主机电源线@#@类型@#@耗材产品@#@易损品@#@主要配件@#@主要配件@#@主要配件@#@更换建议@#@●累计使用时间超过15小时或治疗30人次左右建议更换@#@★手锯出现破损或漏气现象,必须更换@#@★手锯出现气孔堵塞,喷射异常,必须更换@#@●累计使用时间超过50小时或治疗100人次左右建议更换@#@★气管内出现异物或变色现象,必须更换@#@★气管连接口出现破损或漏气现象,必须更换@#@★机器无法水平放置,出现倾斜,建议维修或更换@#@★轮子出现变形或推行不顺,建议维修更换@#@★脚踏失灵或接触不良,无法正常使用。
@#@必须更换。
@#@@#@★脚踏破损或变形,建议咨询更换。
@#@@#@★接头或电线破损,必须更换。
@#@@#@★电线过热或供电异样,必须更换@#@使用建议@#@●喷射治疗结束后,建议喷射1分钟温水,清洗管路及气孔,避免产品在管内结晶。
@#@@#@●使用结束,机器关机前。
@#@除温水管路清理流程外,喷射空气1分钟,干燥管路及气孔。
@#@确保手锯干爽清洁。
@#@@#@●更换产品罐时,建议手握针筒及产品罐的连接头。
@#@切勿用力拉扯气管,导致脱胶漏气。
@#@@#@●连接时,注意卡口位置,对准连接。
@#@避免蛮力插入,导致破损、漏气@#@●机器关机后,建议拔下保存。
@#@避免外露突出,不小心损坏。
@#@@#@●机器搬运时,轻拿轻放轻推@#@●移机时注意物料清单,切勿遗失@#@●连接插拔式,手握端口。
@#@切勿直接拉扯连接线,导致失灵故障。
@#@@#@●移机时注意物料清单,切勿遗失@#@●连接插拔式,手握端口。
@#@切勿直接拉扯电线,导致损坏。
@#@@#@建议备件@#@10个/店或5个/机@#@5个/店或3个/机@#@出现异样,联络厂家维修更换@#@出现异样,联络维修更换@#@出现异样,联络维修更换@#@1.7保险管更换@#@仪器使用过程中,因市电电压不稳或负载过大导致保险管断开,仪器不能正常工作,表现如下:
@#@主电源开关开启,电源灯不亮。
@#@此时需要更换新的保险管。
@#@@#@二,售后服务条款@#@本产品自出售日起,于正常使用情况下发生硬件故障可享受12个月的免费保修。
@#@若属于以下因素,将不予保修,如需维修服务本公司将有权收取维修费用:
@#@@#@1.意外事故、人为因素、供电电压不稳、地震、火灾、水灾、闪电等不可抗拒因素造成的损坏。
@#@@#@2.被未经JetFamily授权的人员修复或试图修复过。
@#@@#@3.产品到货,经查验无故障且已正常签收后因运输造成的损坏。
@#@@#@4.物理损坏,包括移动或再次安装产品时造成的损坏。
@#@@#@5.使用不符合JetFamily技术规格的代用品或部件所致损坏。
@#@@#@6.正常损耗,如:
@#@雾化手柄、导气管、脚踏等。
@#@@#@7.与产品质量无关的其他原因所致。
@#@@#@以上条款最终解释权归JetFamily公司所有@#@SerialNo:
@#@@#@(序列号):
@#@@#@DESCRIPTION@#@(产品名称)@#@JetFamilyX1@#@INSPECTIONDATE检验日期@#@INSPECTIONRESULT检验结果@#@ITEM@#@项目@#@DESCRIPTION@#@检验项目@#@RESULT检验结果@#@PASS@#@通过@#@FAIL@#@不通过@#@N/A@#@不适用@#@1@#@Mainbreakerfunctionalityandcorrectfusescheck@#@主开关和保险检查@#@2@#@TouchScreenCalibration@#@触摸屏校准@#@3@#@TouchScreenTest@#@触摸屏测试@#@4@#@FootswitchTest@#@脚踏开关测试@#@5@#@OutputPressureTest@#@输出压力测试@#@6@#@APC(AutomaticPressureControl)Test@#@自动气压调节测试@#@7@#@NoiseTest@#@噪声测试@#@8@#@VibrationTest@#@震动测试@#@9@#@FansTest@#@风扇测试@#@RESULT结果:
@#@@#@ACCEPT接受@#@REJECT拒受@#@INSPECTEDBY:
@#@@#@检验:
@#@@#@DATE:
@#@@#@日期:
@#@@#@APPROVEDBY:
@#@@#@批准:
@#@@#@DATE:
@#@@#@日期:
@#@@#@OUTGOINGINSPECTIONREPORT出货检验报告@#@ @#@@#@PartList配置清单@#@PartNo.@#@产品编号@#@Description@#@产品概述@#@Quantity@#@数量@#@JetFamilyX1@#@主机@#@1台@#@脚踏开关@#@1套@#@连接管@#@2根@#@雾化手柄@#@2副@#@电源线@#@1根@#@保险丝@#@3个@#@出厂检验报告、及合格证@#@1份@#@耳塞@#@20套@#@ @#@@#@";i:
4;s:
988:
"装饰复合材料企业三年发展战略规划@#@ @#@@#@2018年装饰复合材料企业三年发展战略规划@#@ @#@@#@2018年9月@#@目录@#@一、公司未来发展计划及目标3@#@
(一)未来三年主要发展目标3@#@
(二)具体发展规划3@#@1、产能扩充计划3@#@2、技术创新计划4@#@
(1)继续以绿色环保、节能为技术创新主方向4@#@
(2)继续推进高分子复合材料的研发4@#@3、市场开拓计划4@#@
(1)巩固现有市场优势,积极开拓新市场5@#@
(2)专注重点目标客户的维护与开发5@#@(3)完善营销网络,建设营销队伍5@#@4、人才培养、引进和扩充计划6@#@
(1)强化内部培训6@#@
(2)不断引进外部人才6@#@(3)完善激励机制6@#@5、内部管理提升计划7@#@二、拟定计划所依据的假设条件及可能面临的主要困难8@#@
(一)拟定计划所依据的假设条件8@#@
(二)实施计划所面临的困难8@#@";i:
5;s:
10231:
"java应届生简历模板@#@个人简历表@#@姓名@#@性别@#@出身年月@#@照@#@片@#@身份证@#@号码@#@民族@#@政治面貌@#@婚姻@#@状况@#@健康@#@状况@#@身高@#@现户口@#@所在地@#@所学@#@专业@#@学历@#@最后毕@#@业学校@#@毕业@#@时间@#@技术@#@职称@#@现工作@#@单位@#@参加工@#@作时间@#@现从事@#@专业@#@ @#@@#@主@#@要@#@简@#@历@#@起止年月@#@在何单位(学校)@#@任何职务@#@ @#@@#@ @#@@#@ @#@@#@ @#@@#@ @#@@#@自身业务@#@专长@#@及在校@#@学习@#@成果@#@ @#@@#@通讯地址@#@邮政编码@#@联系电话@#@Email地址@#@个人简历@#@学院@#@照@#@片@#@专业@#@姓名@#@性别@#@民族@#@出生年月@#@ @#@@#@籍贯@#@身高@#@学历@#@政治面貌@#@就业意向@#@兴趣爱好@#@个人说明@#@家庭地址@#@住宿地址@#@ @#@@#@联系电话@#@手机@#@任职情况@#@本@#@人@#@简@#@历@#@时间@#@学校@#@任职@#@ @#@@#@ @#@@#@ @#@@#@ @#@@#@ @#@@#@奖@#@惩@#@情@#@况@#@姓名@#@性别@#@出生年月@#@照片@#@籍贯@#@民族@#@学历@#@毕业院校@#@专业@#@健康状况@#@体重@#@身高@#@电子邮件@#@英语水平@#@计算机水平@#@电话@#@教育状况@#@时间@#@学校@#@系别@#@专业@#@层次@#@工作经历@#@时间@#@单位@#@职务@#@工作内容@#@自我评价@#@修程@#@主课@#@辅修@#@课程@#@个人简历@#@ @#@@#@个人简历@#@姓名@#@性别@#@出生年月@#@籍贯@#@民族@#@身体状况@#@政治面貌@#@身高@#@外语程度@#@所在学院@#@学历@#@曾任职务@#@所学专业@#@特长@#@毕业时间@#@联系电话@#@家庭住址@#@邮政编码@#@个人网站@#@E-mail@#@主修课程@#@个人简历@#@熟悉软件@#@个人特点@#@应聘岗位及个人特长和能力@#@社会实践@#@经历@#@相信您的信任与我的实力将为我们带来共同的成功!
@#@希望我能为咱们公司贡献自己的力量!
@#@@#@基本资料@#@姓名@#@****@#@出生年月@#@1986-10-02@#@生源地@#@安徽蚌埠@#@身高@#@175cm@#@目前所在@#@河北石家庄@#@电话@#@****@#@综合信息(经历、证书、技能)@#@毕业院校@#@河北科技大学@#@专业@#@纺织工程(2006-2010)@#@英语@#@CET四级(正准备六级)@#@计算机@#@AutoCADVBMATLAB@#@校内表现@#@辩论赛最佳辩手、话剧比赛二等奖、校社团社长、志愿活动先进个人@#@工作实习经历@#@单位@#@北京京安护卫公司(2008-7到2008-9)@#@职能@#@保安(值班巡逻)@#@工作说明@#@按照北京电网公司合同规定的线路,巡护奥运输电线路安全,保证奥运电力的正常运行,在工作期间,很好的完成巡逻任务,任务区段内没有发生任何险情@#@单位@#@南京多味坊食品公司(2007-7到2007-9)@#@职能@#@销售(推销)@#@工作说明@#@向南京的汽车站火车站公交站及附近商业点推销公司产品,取得了很好的销售业绩,占公司新增业务的近一成。
@#@@#@评价自我@#@1.吃苦:
@#@我最满意的就是自己对吃苦做好的准备。
@#@我觉得吃苦是成功的必由之路。
@#@我有时感觉不吃点苦,就很难达到自己理想的成绩。
@#@在我心里始终认为:
@#@做事,肯定先苦后甜,没有什么是能够轻易得到的。
@#@但当我汗水累积足够多,我就离我的目标不远了。
@#@@#@2.汲取:
@#@在生活里,我是个乐观开朗的人,喜欢与人分享交流,与人交流是快乐的,同时会收获很多。
@#@每个人都有你我所不知不了解的东西,和他们在一起,我能不停汲取,汲取经验,分享对生活对工作的感悟,时时刻刻都能感到自己的进步。
@#@我把生活看做一个学习的过程,用心,时刻都能有营养供我进步。
@#@@#@3.合作:
@#@我做事不太习惯封闭在自己的范围内,与人合作,能提高做事的效率和效果。
@#@而且在做事过程中,若能成功协调各人能力及利益,那就感觉更好。
@#@如果没有了内部矛盾,大家就能集中力量一起努力,就能把团队作用发挥到最强。
@#@@#@4.纠错:
@#@反省能力强,对于别人批评,能真诚接受,别人的批评总有产生的原因,我如有错能迅速纠正@#@意向应聘职位:
@#@销售类@#@对应聘岗位-销售业务的认识:
@#@@#@我觉得做销售得考人的心理,但也考科学销售:
@#@你得科学客观的了解客户心态、市场走向、对手的信息……它们允许有小偏差,但不能有误差。
@#@获得信息越准确,成功几率越高,销售成绩越好。
@#@但做这些的大前提,就是你得懂行,也就是知己,知道自己手里的牌什么特点,长在哪里,短在哪里。
@#@在销售中,把握人脉很重要,你的关系网很有可能就是你将来的销售网。
@#@“不要放弃任何一个你可以记录的电话”。
@#@营销很考验人,也很锻炼人,它能磨练最好的人才,我想不怕苦,也是它的基本要求之一。
@#@@#@我觉得自己适合这个职位的原因:
@#@@#@1、我有基础行业知识:
@#@纺织专业出身,学过材料学、化学,可以节省对产品的熟悉周期。
@#@@#@2、我不怕吃苦,不惧陌生环境,对于考验,我能咬牙抗过去,我相信困难总会被克服的。
@#@@#@3、我对于把握客户的心理,有一些底气。
@#@在生活中,我经常是同学谈心的对象,对于不同人的思想,理解的比较多。
@#@@#@个人概况:
@#@@#@姓名:
@#@________________性别:
@#@________@#@出生年月:
@#@____年__月__日健康状况:
@#@___________@#@毕业院校:
@#@_______________专业:
@#@____________________@#@电子邮件:
@#@_______________手机:
@#@____________________@#@联系电话:
@#@_______________@#@通信地址:
@#@_______________邮编:
@#@____________________@#@教育背景:
@#@@#@____年--____年___________大学__________专业(请依个人情况酌情增减)@#@主修课程:
@#@@#@________________________________________________(注:
@#@如需要详细成绩单,请联系我)@#@论文情况:
@#@@#@____________________________________________________(注:
@#@请注明是否已发表)@#@英语水平:
@#@@#@*基本技能:
@#@听、说、读、写能力@#@*标准测试:
@#@国家四、六级;@#@TOEFL;@#@GRE.....@#@计算机水平:
@#@@#@编程、操作应用系统、网络、数据库......(请依个人情况酌情增减)@#@获奖情况:
@#@@#@________________、________________、________________(请依个人情况酌情增减)@#@实践与实习:
@#@@#@____年__月--____年__月_________公司__________工作(请依个人情况酌情增减)@#@工作经历:
@#@@#@____年__月--____年__月_________公司__________工作(请依个人情况酌情增减)@#@个性特点:
@#@@#@___________________________________(请描述出自己的个性、工作态度、自我评价等)@#@另:
@#@(如果你还有什么要写上去的,请填写在这里!
@#@)@#@*附言:
@#@(请写出你的希望或总结此简历的一句精炼的话!
@#@例如:
@#@相信您的信任与我的实力将为我们带来共同的成功!
@#@或希望我能为贵公司贡献自己的力量!
@#@@#@姓名:
@#@@#@E_mail:
@#@@#@联系电话:
@#@@#@联系地址:
@#@@#@个人简历
(一)@#@姓名@#@性别@#@出生年月@#@民族@#@政治面貌@#@身高@#@学制@#@学历@#@户籍@#@专业@#@毕业学校@#@技能、特长或爱好@#@外语等级@#@计算机@#@个人履历@#@时 间@#@单位@#@经 历@#@联系方式@#@通讯地址@#@联系电话@#@E-mail@#@特长@#@自我评价@#@姓名◆个人简历@#@毕业院校:
@#@@#@所学专业:
@#@@#@联系电话:
@#@@#@电子邮箱:
@#@@#@ @#@@#@个人信息@#@姓名@#@性别@#@出生日期@#@户口@#@现居地址@#@籍贯@#@婚姻状况@#@学历@#@毕业院校@#@专业@#@电子邮件@#@电话@#@求职目标@#@教育背景@#@实习经历@#@2008.1~2008.2@#@♦积极学习业务知识@#@2007.7~2007.8@#@♦指导客户开户流程@#@2006.7~2006.8@#@♦参加过@#@实践活动@#@2006.9~2008.6@#@2007.7~2007.8@#@2006.9~2007.6@#@2006.3~2006.12@#@获奖情况@#@♦学术类:
@#@@#@♦实践类:
@#@@#@技能与培训@#@♦语言水平:
@#@中级口译证书英语六级@#@♦计算机水平:
@#@上海市电脑中级证书熟练办公软件操作@#@个人概况:
@#@@#@姓名:
@#@___________________性别:
@#@________@#@出生年月:
@#@_______________健康状况:
@#@___________@#@毕业院校:
@#@_______________专业:
@#@____________________@#@电子邮件:
@#@_______________手机:
@#@____________________@#@联系电话:
@#@_______________@#@通信地址:
@#@_______________邮编:
@#@____________________@#@教育背景:
@#@@#@____年--____年___________大学__________专业(请依个人情况酌情增减)@#@主修课程:
@#@@#@________________________________________________(注:
@#@如需要详细成绩单,请联系我)@#@论文情况:
@#@@#@____________________________________________________(注:
@#@请注明是否已发表)@#@英语水平:
@#@@#@*基本技能:
@#@听、说、读、写能力@#@*标准测试:
@#@国家四、六级;@#@TOEFL;@#@GRE.....@#@计算机水平:
@#@@#@编程、操作应用系统、网络、数据库......(请依个人情况酌情增减)@#@获奖情况:
@#@@#@________________、________________、________________(请依个人情况酌情增减)@#@实践与实习:
@#@@#@____年__月--____年__月_________公司__________工作(请依个人情况酌情增减)@#@工作经历:
@#@@#@____年__月--____年__月_________公司__________工作(请依个人情况酌情增减)@#@个性特点:
@#@@#@___________________________________(请描述出自己的个性、工作态度、自我评价等)@#@另:
@#@@#@(如果你还有什么要写上去的,请填写在这里!
@#@)@#@*附言:
@#@(请写出你的希望或总结此简历的一句精炼的话!
@#@)@#@例如:
@#@相信您的信任与我的实力将为我们带来共同的成功!
@#@或希望我能为贵公司贡献自己的力量!
@#@@#@";i:
6;s:
20888:
"单数句变复数句@#@ @#@ @#@ @#@ @#@单数句变复数句歌谣:
@#@@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@单数句变复数句, @#@ @#@代词四变要记住。
@#@@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@人称,指示,反身变物主代词看一看。
@#@@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@连系动词am @#@is @#@ @#@变成are。
@#@@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@冠词aan要去掉,改为some,any也可以。
@#@@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@名词做定语,介宾不要变;@#@有man和woman也例外。
@#@@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@句式不要变,十全十美题做完。
@#@@#@ @#@ @#@ @#@ @#@ @#@ @#@1人称代词由单数变复数:
@#@@#@ @#@ @#@ @#@ @#@ @#@主格@#@I @#@@#@we@#@you @#@@#@you@#@he/she/it @#@@#@they@#@宾格@#@me@#@us@#@you @#@@#@you@#@him/her/it@#@them@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@例1Sheisagirl.Theyaregirls.@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@例2Ilikeher.Welikethem.@#@2指示代词由单数变复数:
@#@@#@单数@#@复数@#@this @#@@#@ @#@ @#@these @#@@#@that @#@@#@those@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@例1Thisisabook. @#@ @#@ @#@ @#@Theseare(some)books.@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@例2Isthatapen?
@#@ @#@ @#@ @#@ @#@ @#@Arethose(any)pens?
@#@@#@ @#@ @#@ @#@ @#@ @#@ @#@3 @#@反身代词:
@#@@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@第一人称 @#@ @#@ @#@myself @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ourselves@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@第二人称 @#@ @#@ @#@yourself @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@yourselves@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@第三人称 @#@ @#@ @#@himself @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@themselves@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@(herself,itself)@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@例1Iamteachingmyselfcomputer. @#@ @#@@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@Weareteachingourselfcomputer.@#@ @#@例2Thechildhimselfdrawsthispicture. @#@ @#@@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@Thechildrenthemselves @#@draw @#@thesepictures.@#@ @#@ @#@ @#@ @#@4物主代词由单数变复数:
@#@@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@1名词性物主代词:
@#@mineours,yoursyours,his/hers/itstheirs@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@2形容词性物主代词:
@#@my @#@our,youryour,his/her/itstheir@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@例1Thebookismine.Thebooksareours.@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@例2Thisisherpen.Thesearetheirpens.@#@ @#@ @#@ @#@5一般情况下,形容词性物主代词在单数句变复数句时不变。
@#@@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@例1Thisismybook. @#@ @#@ @#@ @#@Thesearemybooks.@#@ @#@ @#@ @#@6当句中形容词性物主代词与句中的主语一致时,形容词性物主代词@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@应随主语人称的变化而变化, @#@仍要保持一致。
@#@@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@例1Helikeshisbike. @#@Theyliketheirbikes.@#@ @#@ @#@ @#@ @#@ @#@7连系动词由单数变复数:
@#@is/am @#@are@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@例1Iamateacher.Weare(some) @#@teachers.@#@ @#@ @#@ @#@ @#@8 @#@a/an表示一个,只能用在单数名词前,当单数句变复数句时,@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@一定要把a/an去掉,或改为some/any。
@#@@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@例1Thisisabook. @#@ @#@ @#@ @#@Theseare(some)books.@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@例2Isthatapen?
@#@ @#@ @#@ @#@ @#@ @#@Arethose(any)pens?
@#@@#@ @#@ @#@9当名词作定语或在介词短语中作介词宾语充当除宾语以外的@#@ @#@ @#@ @#@ @#@ @#@ @#@其它成分时, @#@ @#@该名词不变。
@#@@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@例1Heisaboystudent.Theyare(some)boystudents.@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@(man和woman)除外。
@#@@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@例2Sheisawomanworker.@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@Theyare(some)womenworkers.@#@ @#@ @#@ @#@ @#@ @#@ @#@10单数句变为复数句时,句式不能改变,即单数句是疑问句时,@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@变为复数句时,仍然是疑问句。
@#@@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@例1What’sthis?
@#@ @#@Whatarethese?
@#@@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@例2Shelikeshisbike. @#@Theyliketheirbikes.@#@ @#@可数名词的复数形式的部分规则@#@ @#@ @#@ @#@ @#@ @#@1 @#@一般情况加s,结尾是清辅音读[s],结尾是浊辅音或元音读[z]。
@#@@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@例:
@#@friend→friends;@#@cat→cats;@#@style→styles;@#@sport→sports;@#@@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@piece→pieces@#@ @#@ @#@ @#@ @#@ @#@2 @#@以s、x、ch、sh结尾的词,在该词末尾加上后辍-es构成复数。
@#@@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@读[iz]。
@#@@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@例:
@#@bus→buses;@#@quiz→quizzes;@#@fox→foxes;@#@@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@match→matches;@#@ @#@flash→flashes@#@ @#@ @#@ @#@3 @#@以辅音字母+y结尾的名词,将y改变为i,再加-es。
@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@读[z]。
@#@@#@ @#@ @#@ @#@ @#@ @#@ @#@例:
@#@candy→candies;@#@daisy→daisies;@#@fairy→fairies;@#@@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@lady→ladies;@#@story→stories@#@ @#@ @#@4 @#@以-o结尾的名词,有生命的加-es,无生命的加-s构成复数。
@#@ @#@ @#@ @#@ @#@ @#@读[z]。
@#@@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@例:
@#@tomato→tomatoes;@#@potato→potatoes;@#@@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@torpedo→torpedoes;@#@bingo→bingoes(有生命)@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@例:
@#@silo→silos;@#@piano→pianos;@#@@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@photo→photos;@#@macro→macros(无生命)@#@ @#@ @#@5 @#@以-f或-fe结尾的名词,多为将-f或-fe改变为-ves,但有例外。
@#@@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@读音变化:
@#@尾音[f]改读[vz]。
@#@@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@例:
@#@knife→knives;@#@life→lives;@#@leaf→leaves;@#@staff→staves;@#@@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@scarf→scarves反例:
@#@roof→roofs@#@ @#@ @#@6 @#@以-ceseze(d)ge等结尾的名词,加-s,读[z]。
@#@@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@例price—prices @#@case—cases @#@orange—oranges@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@名词的不规则变化:
@#@@#@ @#@ @#@ @#@ @#@ @#@ @#@man→men;@#@woman→women;@#@child→children;@#@people→people;@#@@#@ @#@ @#@ @#@ @#@ @#@ @#@fish→fish;@#@sheep→sheep;@#@deer→deer;@#@foot→feet;@#@@#@ @#@ @#@ @#@ @#@ @#@ @#@mouse→mice;@#@tooth→teeth;@#@@#@ @#@ @#@ @#@单数句变复数句精炼@#@一将下列单数句变为复数句@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@1Thisisabook.@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@2Isthatapen?
@#@@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@3Sheisagirl.@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@4Ilikeher.@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@5Thebookismine.@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@6Thisisherpen.@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@7Iamateacher.@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@8Heisaboystudent.@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@9Sheisawomanworker.@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@10Helikeshisbike.@#@二将下列复数句变为单数句@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@1Whatarethese?
@#@@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@2Theyliketheirbikes.@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@3Thesearemybooks.@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@4Theseare(some)books.@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@5Arethose(any)pens?
@#@@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@6Theyaregirls.@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@7Welikethem.@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@8Theseare(some)books.@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@9Arethose(any)pens?
@#@@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@10Theylikemybikes.@#@三将下列名词变为复数形式@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@单数句变复数句精炼答案@#@一将下列单数句变为复数句@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@1Theseare(some)books.@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@2Arethose(any)pens?
@#@@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@3Theyaregirls.@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@4Welikethem.@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@5Thebooksareours.@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@6Thesearetheirpens.@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@7Weare(some) @#@teachers.@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@8Theyare(some)boystudents.@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@9Theyare(some)womenworkers.@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@10Theyliketheirbikes.@#@ @#@二将下列复数句变为单数句@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@1Whatisthis?
@#@@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@2Helikeshisbike@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@3Thisismybook.@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@4Thisisabook.@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@5Isthatapen?
@#@@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@6Sheisagirl.@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@7Ilikeher.@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@8Thisisabook..@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@9Isthatapen?
@#@@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@10Helikesmybike.@#@三将下列名词变为复数形式@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@maps @#@ @#@ @#@ @#@ @#@eggs @#@ @#@ @#@ @#@ @#@apples @#@ @#@ @#@ @#@ @#@oranges @#@ @#@ @#@ @#@ @#@pens @#@@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@boxes @#@ @#@ @#@ @#@ @#@ @#@buses @#@ @#@ @#@ @#@ @#@girls @#@ @#@ @#@ @#@ @#@ @#@boys @#@ @#@ @#@ @#@ @#@ @#@ @#@babies @#@@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@knives @#@ @#@ @#@ @#@ @#@photos @#@ @#@ @#@tomatoes @#@ @#@ @#@ @#@ @#@men @#@ @#@ @#@ @#@ @#@ @#@women@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@milk @#@ @#@ @#@ @#@ @#@water @#@ @#@ @#@ @#@desks @#@ @#@ @#@ @#@ @#@tables @#@ @#@ @#@ @#@ @#@ @#@bikes@#@";i:
7;s:
29755:
"总结范文狮子和鹿教学设计10篇@#@[总结范文]狮子和鹿教学设计10篇@#@狮子和鹿教学设计@#@ 狮子和鹿教学设计
(一):
@#@@#@ 教材分析:
@#@@#@ 这篇略读课文讲的是在丛林中,一只鹿遇到狮子奋力脱险的故事。
@#@鹿十分欣赏自己美丽的角,而抱怨四条难看的细长的腿。
@#@当遇险时,鹿的四条有力的腿救了它,而美丽的双角被树枝挂住,差点让它丢了命。
@#@这个故事告诉我们:
@#@物各有所长,所短。
@#@不要因为它的长处而看不见它的短处,也不要因为它的短处而否定了它的长处。
@#@不要光图美丽,更要讲实用和它的存在价值。
@#@@#@ 教学目标:
@#@@#@ 1.能有感情地朗读课文。
@#@@#@ 2.透过朗读,理解鹿对自己的角和腿的前后不同态度,这是课文学习的重点。
@#@@#@ 3.教学难点是使学生体会故事中所讲述的道理。
@#@@#@ 教学准备:
@#@小黑板,头饰,等。
@#@@#@ 教学时间:
@#@40分钟。
@#@@#@ 教学过程:
@#@@#@ 一、情景导入,激发兴趣。
@#@@#@ 展示:
@#@欢快的音乐声起,一只漂亮的鹿从丛林里出来,蹦到池塘边。
@#@@#@ 1、同学们,这天老师把谁请来了?
@#@(小鹿姐姐)@#@ 你喜欢它吗?
@#@你觉得它什么地方最美?
@#@学生交流。
@#@@#@ 2、鹿姐姐也认为自己的角很美,但是它经历了一件事,使它改变了一些看法,咱们先来听听它自己是怎样说的?
@#@(放音,出示句子)“唉,两只美丽的鹿角差点儿送了我的命,可四条难看的腿却让我狮口逃出。
@#@”@#@ 3、学生朗读句子,师引:
@#@这到底是怎样回事呢?
@#@那还得从《狮子和鹿》的故事说起,板书课题,读题。
@#@@#@ 二、初读全文,了解课文大意。
@#@@#@ 1、学生自由朗读全文。
@#@要求读通,读顺。
@#@@#@ 2、划出不懂的词句,透过互帮互助方式,师生共同解决,把词的理解放到句子中去。
@#@@#@ 3、学生合作朗读,检查彼此的正误。
@#@@#@ 4、你能说说课文主要讲了一件什么事?
@#@@#@ 三、深读课文,体会鹿对自己的角和腿的不同态度改变的原因。
@#@@#@ 1、再读“两只美丽的鹿角差点儿送了我的命,可四条难看的腿却让我狮口逃出。
@#@”@#@ 请学生从中找出两对反义词。
@#@(美丽―难看、送命―逃生)@#@ 2、小鹿是怎样赞美自己的角的?
@#@请你找找。
@#@@#@ ①、学生朗读,师在屏幕上出示句子,齐读。
@#@@#@ ②、它把自己的角比作什么?
@#@你还能用哪些词来赞美它?
@#@@#@ ③、当它发现自己的角如此美丽,会有什么样的情绪?
@#@(兴奋、惊讶)@#@ ④、谁能把这样的情绪读出来,我就把这美丽的鹿角戴在他的头上。
@#@(用头饰引起学生的阅读兴趣。
@#@)@#@ ⑤、用“多么┅┅多么┅┅”来说一句话。
@#@@#@ 我们的教室多么明亮,多么______!
@#@@#@ 我们的祖国多么______,多么______!
@#@@#@ ____________多么______,多么______!
@#@@#@ 3、小鹿为什么会认为腿是难看的?
@#@找找相关资料。
@#@@#@ 1、学生朗读句子,齐读。
@#@@#@ 2、谁能读好这一句,带着怎样的表情?
@#@(皱眉)@#@ 3、练习:
@#@选句@#@ 唉,这四条腿太细了,怎样能配得上这两只美丽的角呢?
@#@@#@ ①.唉,这四条腿太细了,配不上这两只美丽的角。
@#@()@#@ ②.唉,这四条腿太细了,配不上这两只美丽的角。
@#@()@#@ 4、过渡:
@#@难看的腿让它狮口逃生,美丽的角差点儿送它的命,这是怎样回事?
@#@自由读第六自然段。
@#@@#@ ①难看的腿有什么优点?
@#@美丽的角又有什么缺点?
@#@@#@ ②透过这件事中,你有什么样的感受?
@#@@#@ ③你碰到过类似的事吗?
@#@能说出来和大家一齐分享吗?
@#@@#@ 四、师小节:
@#@@#@ (尺有所短,寸有所长,任何事物都不可能完美无缺。
@#@不要因为它的长处而看不见它的短处,也不要因为它的短处而否定它的长处。
@#@)@#@ 鹿角――美丽(挂住树枝)险些送命@#@ 狮子和鹿@#@ 鹿腿――难看(有力)逃生@#@ 狮子和鹿教学设计
(二):
@#@@#@ 教学目标:
@#@@#@ 1.正确、流利有感情地朗读课文。
@#@@#@ 2.读懂课文资料,体会故事讲述的道理。
@#@@#@ 3.培养独立阅读潜力。
@#@@#@ 教学重点:
@#@理解鹿对自己的角和腿的前后不同的态度。
@#@@#@ 教学难点:
@#@读懂课文,体会故事所讲述的道理。
@#@@#@ 教学准备:
@#@多媒体或小黑板@#@ 教学时间:
@#@一课时@#@ 教学过程:
@#@@#@ 一、由词进入,谈话导入。
@#@@#@ 1、师:
@#@前面我们学了27课,认识了陶罐和铁罐两位朋友,透过了解他们的故事,我们明白了就应正确对待自己和他人。
@#@这天我们还要认识两位朋友。
@#@请大家看老师手中的图片,先来认识第一位朋友。
@#@@#@ 2、出示图片,谁能说出它的名字相机把图片贴在黑板上。
@#@说说它长得怎样样相机板书:
@#@美丽。
@#@谁能说出美丽的反义词@#@ 3、你喜欢美丽的事物还是难看的事物为什么@#@ 过渡:
@#@这只小鹿,它又是怎样看待“美丽”和“难看”的呢让我们一齐学习28课,走进《狮子和鹿》的故事。
@#@请大家伸出手,跟老师一齐板书。
@#@28﹡狮子和鹿。
@#@@#@ 4、师:
@#@请大家齐读课题,读了课题你明白我们要认识的第二位朋友是谁了吗再看看课题与我们平时学的课文有什么不同这样的课文我们以前也学过,大家回忆一下,学这种课文有什么要求@#@ 5、生:
@#@这是略读课文,要求我们自己采用各种方法去学习,只需要读懂大致意思,再谈谈自己的体会就行了。
@#@@#@ 6、遇到不理解的字词,你们会采用哪些方法学习@#@ 7、生:
@#@查字典、词典、联系上下文理解等。
@#@@#@ 8、此刻我们继续用这些方法来学习这个故事。
@#@@#@ 二、初读感知,自学生词。
@#@@#@ 1、请同学们用自己喜欢的方式读课文,与同桌找出带拼音的生字、新词多读几遍,把它们读正确。
@#@@#@ 2、刚才大家学得很认真。
@#@此刻老师的小黑板上有些课文中的词和短语,谁能正确地喊出它们的名字@#@ 匀称珊瑚泛起撅起配得上抱怨逼近犹豫撒开凶猛挣脱@#@ 甩在了后面@#@ a、指名读,相机正音。
@#@@#@ b、开火车读。
@#@@#@ c、大家把小火车开得真稳。
@#@请大家再仔细看看黑板上的词,你明白老师为什么要用红笔写几个字吗@#@ d、生:
@#@它们是多音字。
@#@请三个学生上黑板做出多音字。
@#@@#@ 称{撒{挣{@#@ e、全班齐读多音字。
@#@@#@ 3、大家读得声音真响亮,小鹿听了,迫不及待地想让大家了解它的故事呢!
@#@@#@ 4、请大家快速浏览课文,看看课文有几个自然段。
@#@@#@ 5、分组轮读课文,边读边思考课文讲了一件什么事@#@ 6、大家把课文读得很通顺,此刻请你们结合小黑板上的提示,说说课文讲了一件什么事。
@#@@#@ 课文讲了丛林中住着一只的鹿,当狮子向它扑去时,两只的差点送了它的命,可四条的却让它。
@#@@#@ 7、填完后全班齐读。
@#@思考:
@#@课文紧紧围绕鹿美丽的和难看的写的。
@#@@#@ 三、从句入手,自主探究。
@#@@#@ 1.从句入手,朗读感悟。
@#@@#@ a、生:
@#@课文紧紧围绕美丽的角和难看的腿写的。
@#@在遇到狮子的前后,小鹿对于自己“美丽的角”和“难看的腿”有什么不同的看法,请同学们从课文中找出相关的句子,画上波浪线。
@#@@#@ b、在没遇见狮子之前,小鹿自己喜欢自己的角吗你是从小鹿说得哪句话感受到小鹿喜欢自己的角的@#@ ◆“啊!
@#@我的身段多么匀称,我的角多么精美别致,好像两束美丽的珊瑚!
@#@”@#@ ①怎样的身段才称得上“匀称”@#@ 你能用匀称造句吗@#@ 例如:
@#@她体形匀称,适合去学习跳舞。
@#@@#@ 她的身体匀称,体格健美。
@#@@#@ 这位少女的身体很匀称,怎样看都很漂亮。
@#@@#@ ②谁能用“多么……多么……”造句@#@ 例句:
@#@我们的教师多么明亮,多么宽敞!
@#@@#@ 小红多么善良,心灵多么完美!
@#@@#@ 大海是多么的蓝,是多么的美呀!
@#@@#@ ③小鹿的身材是多么匀称呀!
@#@它还有一对像珊瑚的角。
@#@看见过珊瑚吗老师把它们也带来了。
@#@@#@ ④看完这些图,你有什么感受@#@ ⑤是啊!
@#@海底的珊瑚多美啊!
@#@小鹿用漂亮的珊瑚来比喻自己的角,可见它心里——很喜欢自己的角。
@#@你能带着喜爱的情绪再读读这句话吗@#@ c、在没遇见狮子之前,喜欢自己的腿吗小鹿觉得自己的腿长得怎样你从小鹿说得哪句话看出来的@#@ ◆“哎!
@#@这四条腿太细了,怎样配得上这两只美丽的角呢!
@#@”@#@ ①说这句话时小鹿的表情怎样。
@#@你觉得这时鹿的情绪会怎样咱们就撅起嘴,皱起眉头读这句话。
@#@带着这样的情绪来读这句话。
@#@@#@ d、狮口逃生后,小鹿改变了对角和腿的看法了吗你从小鹿说得哪句话看出来的@#@ ◆“两只美丽的角差点送了我的命,可四条难看的腿却让我狮口逃生!
@#@”@#@ ①是什么事让小鹿改变了对自己角和腿的看法@#@ ②在逃脱狮子的追赶时,小鹿的腿有何惊人的表现你来读读。
@#@@#@ ③经历了了狮口逃生小鹿深有感触地说——生接读“两只美丽的角差点儿送了我的命,可四条难看的腿却让我狮口逃生。
@#@@#@ @#@ 2.用心讨论,引导探究:
@#@@#@ ①过渡:
@#@这次灾难之后,鹿决定锯掉头上那两只美丽的角,同学们讨论一下,小鹿该不该这样做呢@#@ ②生交流意见。
@#@@#@ 3.故事总结,感悟道理。
@#@@#@ 读了《狮子和鹿》的故事,明白了事物有它的长处也有它的短处,一味欣赏长处而看不见短处,是不对的;@#@因为它的短处完全否定长处也是不对的,我们要做到扬长避短,发扬优点,克服缺点。
@#@像这样讲故事明道理的叫做寓言。
@#@@#@ 四、交流理解,拓展延伸。
@#@@#@ 1.老师这天也带来了一篇寓言故事,我们一齐来读读。
@#@@#@ 朋友与熊@#@ 两个平常十分要好的朋友一道上路。
@#@途中,突然遇到一头大熊,其中的一个立即闪电般地抢先爬上了树,躲了起来,而另一个眼见逃生无望,便灵机一动立刻躺倒在地上,紧紧地屏住呼吸,假装死了。
@#@据说,熊从来不吃死人。
@#@熊走到他跟前,用鼻子在他脸上嗅了嗅,转身就走了。
@#@躲在树上的人下来后,问熊在他耳边说了些什么。
@#@那人委婉地回答说:
@#@“熊告诉我,今后千万注意,别和那些不能共患难的朋友一齐同行。
@#@”@#@ 2.我们来交流一下,你透过读故事,懂得了什么@#@ @#@ 结束语:
@#@在这个脚步匆匆的世界里,寓言是一种别致的快餐,能让我们在读后获得智慧,期望大家能和寓言交上朋友,享受寓言带给我们的快乐。
@#@@#@ 五、布置作业@#@ 1、课后找些寓言故事读,并谈谈自己的体会。
@#@@#@ 2、四人小组讨论:
@#@本课与27课的相同之处。
@#@@#@ 狮子和鹿教学设计(三):
@#@@#@ 教材简说@#@ 本文是人教版第五册第七组课文中的一篇略读课文。
@#@课文讲的是在丛林中,一只鹿遇到狮子而奋力脱险的故事。
@#@平时,鹿十分欣赏自己美丽的角,而抱怨四条难看细长的腿。
@#@当凶猛的狮子向它扑来的时候,鹿的四条有力的长腿帮它从狮口脱险;@#@而美丽的双角被树枝挂住,险些丧了性命。
@#@这个故事告诉我们:
@#@物各有所长、所短;@#@不要因为它的长处而看不见它的短处也不要因为它的短处而否定它的长处;@#@还告诉我们,不要光图美丽的外表,更要讲实用;@#@美和实用在不同的环境和不同的条件下都有存在的价值。
@#@@#@ 本课的语言简洁生动,尤其是小鹿的四句独白值得回味推敲:
@#@前三句都以一语气词开头,凸现小鹿当时的情绪,是进行朗读训练和语言积累运用的珍贵语例;@#@最后一句意味深长,有助于学生读懂故事的寓意。
@#@文中的插图展现的小鹿正陶醉于自己的美丽,利用图片展开想象能够帮忙学生更好地揣摩小鹿的情绪,使朗读入情入境。
@#@@#@ 设计理念@#@ 朗读作为语文教学的永恒主题,有着非凡的魅力。
@#@由于三年级学生的抽象思维还离不开具体形象的支撑,探求寓意,须引导学生凭借想象将文字变成活动的生活画面,激活学生对语言的感悟,在此基础上再以读促思。
@#@既训练了学生的语言表达潜力,又使学生的理解得以深化。
@#@@#@ 教学目标@#@ 1、能有感情地朗读课文。
@#@@#@ 2、透过朗读,理解鹿对自己的角和腿的前后不同态度。
@#@@#@ 3、透过自读自悟,使学生体会故事中所讲述的道理。
@#@@#@ 教学重点理解指导鹿对自己的角和腿的前后不同态度。
@#@@#@ 教学难点体会故事所讲述的道理,培养独立阅读潜力。
@#@@#@ 教学准备自制@#@ 教学过程:
@#@@#@ 一、情景导入,激发兴趣。
@#@@#@ 1、在美丽的大森林里,住着许多小动物,你们看,这天老师把谁请来了?
@#@(板书:
@#@鹿)喜欢它吗?
@#@你觉得这是一只怎样的鹿?
@#@学生交流。
@#@@#@ 2、老师还为大家请来了(板书:
@#@狮子)谁来说说在你心中狮子是怎样的?
@#@@#@ 3、凶猛的狮子和漂亮的鹿碰到一齐会发生怎样的故事呢?
@#@就让我们一齐走进《伊索寓言》中的《狮子和鹿》。
@#@@#@ 设计意图:
@#@形象展示,问题引导,激发学生的学习兴趣,出示两个动物朋友狮子和鹿,将美丽的鹿的形象展此刻大家面前,引导孩子去猜想狮子和鹿之间到底会有什么样的故事呢?
@#@进而激发起孩子阅读文本的兴趣。
@#@@#@ 二、初读全文,整体感知@#@ 1、学生自由朗读全文。
@#@@#@ 请大家把书翻到110面,大声朗读整个故事,读准字音,读通课文,读不通顺的地方多读几遍,边读边想这个故事讲了一件什么事?
@#@@#@ 2、检查词语@#@ 欣赏,匀称,珊瑚,精美别致@#@ 抱怨,撅嘴,皱眉,没精打采@#@ 灰心丧气,挣脱,狮口逃生@#@ 大家读得很认真,相信这些词语肯定都认识了,哪位勇士愿意先来试试?
@#@谁愿意当小老师?
@#@@#@ 3、概括故事的主要资料@#@ 欣赏抱怨狮口逃生@#@ 真不错,读了一遍就读准了词语的字音,还能读得有滋有味。
@#@但是,如果你能用这几个词语把整个故事说成一句话,那就更了不起了。
@#@指名说。
@#@(板书:
@#@角腿)@#@ 三、品读鹿之美@#@ 1、故事中的小鹿到底是怎样欣赏和抱怨自己的,请同学们默读1―4自然段,用“――”划出小鹿欣赏和抱怨自己的话。
@#@@#@ 2、交流@#@
(1)谁来说说鹿是怎样欣赏自己的角的?
@#@@#@ 欣赏的话:
@#@@#@ “咦,这是我吗?
@#@”@#@ “啊!
@#@我的身段是多么匀称,我的角多么精美别致,好象两束美丽的珊瑚!
@#@”@#@ 他为什么欣赏自己的角?
@#@你从什么地方看出角很美丽?
@#@(板书:
@#@美丽)你就是这只美丽的鹿,快夸夸自己吧!
@#@下面的小鹿都迫不及待要夸夸自己了,那就自己夸夸吧!
@#@指名读。
@#@@#@
(2)小鹿都快要陶醉了,但是他为什么还要抱怨呢?
@#@(板书:
@#@难看)小鹿怎样抱怨的?
@#@@#@ 抱怨的话:
@#@@#@ “唉,这四条腿太细了,怎样配得上这两只美丽的角呢!
@#@”@#@ 意思就是说:
@#@@#@ 你看,小鹿们都在抱怨呢?
@#@(自由读)谁来抱怨一下(指名读)@#@ 3、引读2-4自然段@#@ 看你们读得如此有滋有味,老师也想加入,欢迎吗?
@#@老师当解说员,你们读小鹿的话,可要听好老师的提示。
@#@@#@ “咦,这是我吗?
@#@”@#@ “啊!
@#@我的身段是多么匀称,我的角多么精美别致,好象两束美丽的珊瑚!
@#@”@#@ “唉,这四条腿太细了,怎样配得上这两只美丽的角呢!
@#@”@#@ 师:
@#@漂亮的小鹿们,你们从来没有注意到自己是这么漂亮,这天突然发现,所以惊喜万分,@#@ 生:
@#@“咦,这是我吗?
@#@”@#@ 师:
@#@你们不急着离开了,对着自己的身影大加赞赏@#@ 生:
@#@“啊!
@#@我的身段是多么匀称,我的角多么精美别致,好象两束美丽的珊瑚!
@#@”@#@ 师:
@#@但是,当你们看到自己难看的细腿之后,不禁撅起了嘴,皱起了眉头,抱怨道@#@ 生:
@#@“唉,这四条腿太细了,怎样配得上这两只美丽的角呢!
@#@@#@ 设计意图:
@#@记得《语文课程标准》中有一句话这样说道:
@#@“应尊重学生在阅读过程中的独特体验。
@#@”在朗读训练中,我鼓励学生读出对文中情感的不同体验,并结合具体语段来说说自己的朗读体会,充分表现出自己的个性。
@#@同学之间互相评论,相互促进,更加深了对课文的理解。
@#@这一朗读训练,使学生自然而然地走进文本,和文本对话,为下文体会鹿的思想转变,奠定了基础。
@#@一轮轮的朗读,会使学生的朗读水平得到一步步的提升。
@#@@#@ 四、研读狮口逃生@#@ 1、正当鹿抱怨自己的腿,没精打采地准备离开时,一场厄运正悄悄地降临,明白将要发生什么吗?
@#@@#@ 2、视频播放猛兽追赶猎物时那惊心动魄的场面@#@ 同学们,看过“动物世界”吗,看到过猛兽追赶猎物时那惊心动魄的场面吗?
@#@我们再来体验一下。
@#@@#@ 设计意图:
@#@三年级学生的抽象思维还离不开具体形象的支撑,个性是课文所描述的那种惊心动魄的场景,如果光靠讲学生很难进入这种情景。
@#@视频的引入轻而易举地解决了这一难点,能起到事半功倍的效果。
@#@@#@ 3、师范读,再次创设情境。
@#@@#@ 对于弱者来说,这真是一场生与死的考验,那么故事中的小鹿又将面临怎样的生死抉择呢?
@#@那样吧,此刻我们就到森林深处去亲自体验一下。
@#@闭上眼睛:
@#@正当鹿抱怨自己的腿,没精打采地准备离开时,一场厄运就这样降临了,鹿猛一回头,(惊叫)@#@ 哎呀,一头狮子正悄悄地向自己逼近,鹿不敢犹豫,撒开长腿就跑。
@#@有力的长腿在灌木丛中蹦来跳去,不一会儿,就把凶猛的狮子远远地甩在了后面。
@#@就在狮子灰心丧气不想再追的时候,鹿的角却被树枝挂住了。
@#@狮子赶紧抓住这个机会,猛扑过来。
@#@眼看就要追上了,鹿用尽全身力气,使劲一扯,才把两只角从树枝中挣脱出来,然后又拼命向前奔去。
@#@这次,狮子再也没有追上。
@#@@#@ 3、谁来说说你看到了什么?
@#@情绪怎样样?
@#@你从哪些词语体验到了紧张,惊心动魄?
@#@@#@ 4、这叫有惊无险。
@#@大家体会得很好,但是,我还想提个推荐,当大家读到,“哎呀,一头狮子正悄悄地向自己逼近”时,就在后面写上三个字“好险啊!
@#@”;@#@读到“鹿使劲一扯,才把鹿角从树枝中挣脱出来”的时候,也写上三个字“好险啊!
@#@”咱们再把这两段连起来读一读,体会体会。
@#@@#@ 5、咱们一次比一次体会得好。
@#@下面我们再读的时候,要求提高了。
@#@“好险啊!
@#@”这三个字,让它们悄悄地藏在心里,只在心里暗暗想:
@#@好险啊!
@#@老师念每个句子的前半部分,你们接下去!
@#@@#@ 师再次引读,师生合作整读课文五六自然段。
@#@@#@ 6、师总结:
@#@我想这回大家完全能够给“动物世界”配音了。
@#@@#@ 设计意图:
@#@课文五六自然段,正是写鹿遇险的经过,真是有惊无险。
@#@学生初读时,可能会显得四平八稳,漫不经心。
@#@几经启发之后,学生在朗读速度上是加快了,但让人倒抽一口冷气的惊险场面却感受不到。
@#@适时地播放视频,听老师范读,此时,学生就能体会到那种劫后余生的感觉,读起来就有“惊心动魄”的感觉了。
@#@在读书的过程中,不可小看老师的“导悟”作用,有时老师艺术性的寥寥几语就能起到四两拨千斤的功效,在这一段的朗读训练里,透过老师一次次深入引导,学生的思维、想象、情感等心智活动一次次主动参与到朗读实践中,老师把颖悟的心灵交给学生,创造出一个充满生机和灵性的精神世界与学生共享,潜移默化中老师就会成为学生精神文化之旅的亲切导游。
@#@@#@ 五、领悟道理、拓展延伸@#@ 1、小鹿跑到了一条小溪边,停下脚步,一边喘气,一边休息,假如你就是这只小鹿,刚才师口逃生的一幕肯定还在脑海回旋,心肯定还在砰砰直跳,此时此刻,你会想些什么?
@#@你还会欣赏美丽的角,而抱怨难看的腿吗!
@#@他叹了口气,说生:
@#@“两只美丽的角差点儿送了我的命,可四条难看的腿却让我狮口逃生!
@#@”@#@ 2、是啊!
@#@角虽然(),但是却差点儿让他送命(板书:
@#@差点送命)腿虽然(),但是却使他狮口逃生(板书:
@#@狮口逃生)于是,小鹿经历了这次灾难之后,痛定思痛,幡然省悟,它毅然锯掉了头上那两只美丽的角,同学们讨论一下鹿该不该这样做呢?
@#@@#@ 同学们明白了美丽的角不能锯掉,他在不同的时候也是有作用的,读到那里,你想对鹿说些什么?
@#@对自己说些什么?
@#@(好看的东西不必须有用,不好看的东西也不必须没用。
@#@一个人虽然长得漂亮,但不必须美,而要看他的心灵是不是美。
@#@)@#@ 3、很高兴同学们能从这则寓言中悟到很多东西。
@#@像我们熟悉的《龟兔赛跑》选自《伊索寓言》,它语言凝练,寓意深刻,能让我们在读后获得智慧的启迪和精神的满足,期望同学们能和寓言交上朋友,和书交上朋友,成为真正的读书人,因为读书人是幸福人。
@#@@#@ 设计意图:
@#@思辩的这一过程,我想肯定能完全激活学生思维的兴奋点,在小组激烈的辩论中,孩子们肯定能据理力争,运用分析,推理等思维方式,争先恐后地阐述自己的观点,思想的交锋使课堂上高潮迭起,然后老师不失时机地向孩子们推荐这一特点鲜明,又深受大家喜爱的文学作品,激起了学生强烈的阅读愿望。
@#@@#@ 狮子和鹿教学设计(四):
@#@@#@ 教学目标:
@#@@#@ 1、正确、流利、有感情地朗读课文。
@#@@#@ 2、透过对课文重点句的自读自悟,体会并理解鹿对自己的角和腿前后不同的态度。
@#@@#@ 3、透过对课文质疑,解疑,明白故事中所讲述的道理,培养独立阅读的潜力。
@#@@#@ 教学重点:
@#@@#@ 透过朗读、感悟,理解鹿对自己的角和腿的前后不同态度。
@#@@#@ 教学难点:
@#@@#@ 使学生体会故事中所讲述的道理。
@#@@#@ 教学过程:
@#@@#@ 谈话导入,揭示课题@#@ 师:
@#@这天我们一齐来学习28课《狮子和鹿》(板书,齐读课题)@#@ 这是一篇略读课文,我们明白略读课文是透过在老师的指导下,自己进行学习和体会。
@#@看,唐老师给你们制定了一个自学提纲。
@#@(出示)谁来读一读?
@#@(指名读)我们开始挑战第一步。
@#@@#@ 二、自读课文,整体感知@#@ 1、学生自由朗读全文。
@#@要求读通,读顺。
@#@@#@ 2、自学生字(出示词语)@#@ 3、默读课文,思考课文主要讲了一件什么事?
@#@指名说@#@ 老师和学生共同完成:
@#@@#@ 一天,一只鹿在池塘边喝水,十分()自己美丽的角,而()四条腿长得难看,鹿忽然发现一头狮子向自己(),鹿美丽的角()让他送命。
@#@而有力的细腿帮她()。
@#@@#@ 三、讨论交流,理解寓意@#@ 讨论一:
@#@开始,鹿对自己的角和腿的评价是怎样的?
@#@@#@ 1、角:
@#@(美丽)啊!
@#@我的身子多么匀称,我的角多么精美别致,好像两束美丽的珊瑚。
@#@@#@
(1)学生读句子。
@#@狮子和鹿优秀教案设计@#@
(2)它把自己的角比作什么?
@#@@#@ (3)当它发现自己的角如此美丽,会是什么样的情绪?
@#@(兴奋、惊讶)@#@ (4)同学们,此刻我们就是这只漂亮的小鹿,快来夸夸自己。
@#@(指名读句子)@#@ (5)用“多么……多么……”练习说话。
@#@@#@ 2、师:
@#@鹿多么喜爱自己的角呀!
@#@可当它看到自己的腿时,是怎样评价的@#@ “唉,这四条腿太细了,怎样能配得上这两只美丽的角呢?
@#@”@#@ 师:
@#@鹿认为自己的腿怎样样?
@#@(难看)@#@ 你从哪些地方能够看出它个性不满意自己的腿?
@#@(撅起嘴,皱起眉头)谁来学一学?
@#@@#@ 师:
@#@看起来鹿十分不满意自己的腿呀!
@#@三丙班的小鹿们,撅起嘴,皱起眉头,把你们的抱怨告诉大家吧。
@#@@#@ 讨论二:
@#@之后,鹿对自己的角和腿的评价又是怎样的?
@#@@#@ 师:
@#@鹿因为角的美丽而喜爱,因为腿的难看而抱怨。
@#@但是,之后鹿对自己的角和腿的评价又是怎样的?
@#@@#@ 为什么有这种改变呢?
@#@鹿经历了一件什么事情?
@#@(齐读第6自然段)@#@ 师:
@#@小鹿最终靠什么逃离了狮子的追赶?
@#@(腿)这是怎样的腿?
@#@@#@ 那美丽的角是不是也帮了它很大的忙?
@#@(没有,吃点害了它)@#@ 师:
@#@是呀,美丽的角差点让小鹿送了命,难看的腿却让它狮口逃生。
@#@@#@ 此刻,你们来说说,到底是鹿角好,还是鹿腿好?
@#@@#@ (学生自由说)@#@ 师:
@#@这正像我们多说的:
@#@尺有所长,寸有所长。
@#@@#@ 四、升华主题,拓展延伸@#@ 1、从这个故事中,你明白了什么道理?
@#@@#@ 出示:
@#@@#@ 鹿角虽然____________但_______________________;@#@@#@ 鹿腿虽然____________但是___________________。
@#@原先____________。
@#@@#@ 2、你在生活中碰到过类似的事吗?
@#@和大家一齐分享吧。
@#@@#@ _____虽然____________但是___________________。
@#@原先____________。
@#@@#@ 师总结:
@#@很高兴同学们从这则寓言里悟出这么多道理来,在生活中,任何事物不可能完美无缺,正所谓,“尺有所短,寸有所长。
@#@”(板书)不要因为它的长处而看不见它的短处,也不要因为它的短处而否定他的长处。
@#@不要光图美丽,更要讲实用和它的存在价值。
@#@@#@ 五、板书设计:
@#@@#@ 28、狮子和鹿@#@ 鹿角,鹿腿@#@ 美丽,难看@#@ 差点送命,逃生@#@ 尺有所短,寸有所长@#@ 狮子和鹿教学设计(五):
@#@@#@ 学习目标@#@ ①正确、流利、有感情地朗读课文。
@#@@#@ ②理解课文资料,懂得好看的东西有进会带来坏处,而难看的东西有时却会带来好处。
@#@@#@ A案@#@ 课前准备@#@ ①学生复习这一单元已学课文,想想课文给自己哪些启发。
@#@@#@ ②根据课文插图制作活动挂图:
@#@丛林、池塘为背景,另外用纸画一只鹿并剪下来。
@#@@#@ ③抄录课文第六、七自然段的小黑板。
@#@@#@ 形象揭题,展开联想@#@ ①教师在黑板上贴好背景图,语言渲染:
@#@茂密的丛林中,住着许多动物。
@#@(边板书课题边描述)有狮子,有鹿。
@#@(贴图)@#@ ②看到这两种动物,联想到了什么呢?
@#@鼓励学生畅所欲言。
@#@@#@ ③教师小结激趣。
@#@狮子凶猛,鹿很美丽,这个大家都已明白。
@#@但是,这篇课文透过狮子和鹿的故事却告诉我们一个新的道理。
@#@是什么呢?
@#@下面就看谁的读书本领强";i:
8;s:
28490:
"教师业务学习笔记@#@教师业务学习笔记@#@时间@#@2016年9月6日@#@学习主题@#@如何构建和谐高效课堂@#@学@#@习@#@内@#@容@#@摘@#@记@#@精心设计问题,吸引学生@#@构建以“学生为主体,教师为主导,训练为主线,发展为中心,师生互动”的课堂教学,让学生在教师精心设计的问题探索中,用“问题串”吸引学生自觉地全身心地投入到学习活动中,用心思考,真诚交流时而困惑,时而高兴,在起伏的情感体验当中,自主地完成对知识的构建。
@#@在这样的学习过程中,学生不仅对知识理解十分深刻,而且“创造”着获得知识的办法,体验着获取知识的愉悦。
@#@@#@以学生为课堂教学的主体。
@#@@#@教师要从权威的讲授者转变为与学生共同探索问题和解决问题的引导者,每节课教师都要小结。
@#@每单元学完之后都要引导学生整理复习,这些平时都做的事情,平常放手让给学生做。
@#@教师注意形式的多样化,渐渐地学生就学会了对知识的整理,归纳和小结,而且能够用自己的表现方式来整理并交流,在学生们的交流中还能提出问题进行解答,举例说明等。
@#@@#@课堂教学的评价。
@#@@#@教师要关爱每一个学生与学生平等相处,以人格感染学生,以学识打动学生,以要求规范学生,以行动引导学生。
@#@激发和调动学生学习的积极性,关注不同学生的不同发展。
@#@@#@师生间要和谐互信@#@课堂上教师对学生要诚信,说话算数,不落口债,凡是答应学生的事应尽量做到,有特殊情况要向学生解释清楚,教师以诚待人,必须影响学生。
@#@@#@五、学生之间要和谐。
@#@@#@一个班集体总有优生、差生,教师首先要做到一视同仁,人人平等,优、差生都可能犯错误,教师要做到及时教育、监督、不偏袒,做到公正、公平。
@#@其次是教师要培养学生的合作意识,在每个学习小组里为了完成共同的任务,要有明确的分工,教师要为学生提供合作学习的条件,创设合作的情境,让学生在合作中体验成功的喜悦,感受信息共享的快乐。
@#@@#@良好的师生关系是决定和谐高效课堂的关键,教师应走进学生,亲近学生,了解和平等对待学生,构建民主、和谐的师生关系。
@#@@#@备注@#@ @#@ @#@ @#@教师业务学习笔记@#@时间@#@2016年9月13日@#@学习主题@#@如何打造高效课堂@#@学@#@习@#@内@#@容@#@摘@#@记@#@一、教师方面@#@1、充分的课前准备@#@我们知道,没有预设的课堂是放任的,也是杂乱无章的,必然也是低效的。
@#@@#@2、活跃的课堂状态@#@只要能让学生的思维总处于活跃状态,积极地探索知识并试图将刚刚获得的知识转化为能力,我认为,这就是一节高效的课,成功的课。
@#@@#@3、科学的学法指导@#@我们要鼓励学生敢于提出疑问,引导学生产生疑问,进而发现问题,要给学生质疑的时间和空间,使学生可以随时质疑,会质疑本身就是思维的发展、能力的提高。
@#@@#@4、适当的激励机制@#@课堂要面向全体学生。
@#@培优补差工作不是课后的工作,而是课堂教学的重要内容。
@#@@#@二、学生方面@#@1.课前预习习惯@#@预习不止是把书本看看,还要思考一些基本的问题:
@#@是什么为什么这样行吗跟以前的知识有什么联系等等。
@#@@#@2、课堂学习习惯:
@#@@#@上课要做到“声声入耳、字字入目、动手动脑、用心学习”。
@#@@#@3、课后巩固习惯:
@#@@#@坚持先复习后做题。
@#@复习是巩固和消化学习内容的重要环节,把所学知识认真复习一遍,该记忆的记住了,该理解的理解了,然后再做作业。
@#@@#@三、师生结合@#@如果每个学生都有良好的学习习惯,再加上教师的适时引导点拨,我们的课堂教学想要不高效都不可能。
@#@@#@备注@#@教师业务学习笔记@#@时间@#@2016年9月20日@#@学习主题@#@营造高效课堂的几种方法@#@学@#@习@#@内@#@容@#@摘@#@记@#@从学生的角度去看,老师一定要有普遍的关注@#@1、用微笑目光来流露你的关注。
@#@要经常用目光或微笑与学生交流,是实现普遍关注的前提。
@#@@#@2、用欣赏、夸奖的手段来体现你的关注。
@#@对学生的欣赏、夸奖、鼓励往往表达一种积极的心理暗示——老师器重我。
@#@一定要找到欣赏、夸奖的理由,找到欣赏、夸奖的方向,找到欣赏、夸奖的那些“点”。
@#@@#@3、用提问或允许回答问题来表达你的关注。
@#@@#@4、用“中断定势”的形式表现你的关注。
@#@当一个学生表现得没有积极性,否认自己的积极性的时候,教师用“中断定势”的方法来表现对学生的认同。
@#@@#@二、从老师的角度看,课堂教学一定要有创新@#@1、启发激趣,让课堂变得生动。
@#@兴趣,是学习的内驱力,是创造才能的催化剂。
@#@@#@2、质疑交流,让课堂变得有理。
@#@怀疑,常常是创造的开始。
@#@教学中要让学生做到不“唯书”不“唯上”,敢于怀疑已成为定论的东西。
@#@@#@3、提倡“合作”,让课堂变得融洽。
@#@以“小组合作”“多边合作”“全班合作”等形式创设学生之间互学互补互促的学习氛围。
@#@@#@三、从教法的角度看,要营造良好的课堂氛围@#@1、营造能够给所有的学生以尊严的氛围。
@#@让所有的学生都能够对自己有信心。
@#@如果学生对自己没有自信,甚至认为自己没有希望、很坏、愚蠢,那就没有效率可言。
@#@@#@2、营造让所有学生都洋溢生命活力的氛围。
@#@在这样课堂里,学生感到轻松、活跃、精力充沛。
@#@@#@3、营造能够让学生自我管理的氛围。
@#@在这样的课堂里,每个学生都能觉得自己属于这个集体,都觉得在这个集体里,自己被认同,被认可。
@#@@#@总的说来,教学过程是一个复杂的活动,要使课堂高效,关键是定位:
@#@教师——课堂的主导者,学生——课堂的主体。
@#@只有构建灵活多样的自主学习的课堂教学模式,课堂效益才会提高.@#@备注@#@教师业务学习笔记@#@时间@#@2016年9月27日@#@学习主题@#@对数学高效课堂的认识@#@学@#@习@#@内@#@容@#@摘@#@记@#@一、准确把握教学目标是高效课堂的基础。
@#@@#@教学目标是课堂教学的灵魂。
@#@没有目标就没有行动的方向,准确把握教学目标是构建高效课堂的前提和基础。
@#@实现数学课堂教学高效化的首要任务是:
@#@制订全面、具体、适切的三维教学目标,并将它贯穿于课堂教学的始终。
@#@@#@二、充分发挥学生的潜在能力是高效课堂的保证。
@#@@#@数学教学的关键不在改变数学知识本身,而是要改变学生的学习观,教给他们学习的方法,养成良好的自觉学习与自觉钻研数学的习惯,学生将终生受益。
@#@学生的数学学习应当是一个生动活泼、主动的和富有个性的过程。
@#@课堂教学的关键就是要拓展学生的心理空间,激发学生学习的内驱力,发挥学生的潜在能力,促使学生积极主动思维,充分发挥其自主学习能力。
@#@@#@三、让学生快乐地学是高效课堂的关键。
@#@@#@高效课堂应该是学生知识发展的课堂,是学生能力提升的课堂。
@#@要提高课堂教学行为的有效性,教师更应成为学生的忠实听众,在课堂中善于倾听。
@#@@#@如何使课堂高效运作具有一定的难度:
@#@@#@课堂必须要让孩子感到有趣。
@#@@#@孩子在课堂上都能自主积极的探索。
@#@@#@让数学变得有趣起来。
@#@@#@让孩子在课堂上感到学习的快乐。
@#@@#@当然,这四点是需要教师花费大量的时间去坚持,去培养,并不是简单的一天就能形成,需要我们能踏踏实实上好每节课。
@#@@#@总之,课堂教学是一门艺术,是一种教师与学生共同参与的复杂性活动。
@#@在课程改革的大背景下,打造“学的课堂”是势在必行,义不容辞。
@#@我们在数学教学中为学生打造高效课堂,是需要坚持和不懈的努力来不断完善的。
@#@@#@备注@#@教师业务学习笔记@#@时间@#@2016年10月11日@#@学习主题@#@有效教师的内涵与发展目标@#@学@#@习@#@内@#@容@#@摘@#@记@#@一、有效教师的内涵@#@1、良好的职业道德,丰富的学科知识,突出的教育教学能力。
@#@@#@2、对自己的工作具有反思态度和积极探索的能力,成为研究者。
@#@@#@3、善于和学生、同事、领导、社区、家庭沟通与联系,是个成功的教育合作者。
@#@@#@4、学校管理的积极参与者。
@#@@#@二、有效教师形成的基础@#@有效教师形成的基础是其人格特征@#@
(一)、胸怀理想,矢志从教@#@
(二)、热爱事业,追求卓越@#@(三)、以德立教,奉献中积累@#@(四)、乐学善学,学而不厌@#@(五)、悦纳自我,自强不息@#@(六)、童稚之心与长者风范@#@(七)、平和之心与坚韧精神@#@(八)、坦诚开敞,善于交往@#@良好的人际关系是以健康心理品质为基础的,概括起来主要有以下几点:
@#@@#@一是真诚质朴,一是一,二是二,不虚伪,不矫饰。
@#@二是开敞胸襟,乐于交流沟通,不孤芳自赏,不自我封闭。
@#@三是豁达大度,宽以待人,不斤斤计较,不“同行相轻”。
@#@@#@三、有效教师的发展目标@#@
(一)、适应期——有充分心理准备的教学新手@#@
(二)、发展期——发展期是骨干教师教育教学能力提高最快,逐渐走向成熟的时期@#@(三)、创造期——教育专家型教师@#@@#@备注@#@教师业务学习笔记@#@时间@#@2016年10月18日@#@学习主题@#@有效教师的核心因素@#@学@#@习@#@内@#@容@#@摘@#@记@#@教师的专业知识、专业技能、专业态度和专业自主意识,这四个方面构成有效教师核心专业素质的整体。
@#@@#@一、教师的知识:
@#@@#@1、教师的本体性知识。
@#@是指教师所具有的特定的学科知识,这是人们普遍熟知的一种教师知识。
@#@@#@2、教师的实践性知识。
@#@是指教师面临实现有目的的行为中所具有的课堂情景知识以及与之相关的知识。
@#@@#@3、教师的条件性知识。
@#@是指教师所具有的心理学和教育学知识。
@#@@#@4、教师的文化性知识。
@#@是指教师所具备的与本专业相邻的学科知识,这是适应科学不断分化与综合特点的需要。
@#@@#@二、教师的专业技能:
@#@教师在教学过程中运用一定的专业知识和经验顺利完成某种教学任务的活动方式为专业技能。
@#@教师的专业技能包括:
@#@教学设计技能、教学语言技能、课堂组织和调控技能、研究技能、综合运用信息技术技能、自我反思技能等。
@#@@#@三、教师的专业态度:
@#@@#@1、教师的专业理想:
@#@是教师对成为一个成熟教育教学专业工作者的向往与追求,它为教师提供了奋斗的目标,是推动教师专业发展的巨大动力。
@#@@#@2、教师的专业情操:
@#@是教师对教育教学工作带有理智性的价值评价的情感体验,它是构成教师价值观的基础,是构成优秀教师个性的重要因素,也是教师专业情意发展成熟的标志。
@#@@#@3、教师的专业向性:
@#@是指教师成功从事教学工作所应具有的人格特征,或者说适合教学工作的个性倾向。
@#@@#@四、教师的专业自主意识:
@#@专业自主意识@#@备注@#@教师业务学习笔记@#@时间@#@2016年10月25日@#@学习主题@#@构建高效课堂应注意的几个问题@#@学习对象@#@学@#@习@#@内@#@容@#@摘@#@记@#@一、明确内涵@#@学生的进步、发展、提高,才是判断课堂教学有效无效、高效低效的唯一标准。
@#@@#@教学要讲效率,但其最终目的应该是追求效果。
@#@教学效果有显性的和隐性的,不能由于显性效果的不明显而轻易认为那是“无效教学”,因为还有隐性效果有待观察。
@#@@#@强调目标@#@教学本身就是一种目标行为,不是随意行动,因此,目标达成度就是有效无效的标准。
@#@课堂教学目标是课堂教学的出发点和归宿,对整个教学过程起着调整、导向、控制作用。
@#@拟定课堂教学目标,要深入钻研教材、把握教材重点和难点,充分了解学生的认知水平的基础。
@#@@#@三、立足学情@#@每个学生都参与教学活动是实施有效教学的前提条件。
@#@让每个学生都在自己原有的基础上获得发展。
@#@有效的课堂教学必须要从了解学生的实际情况出发,只有探入了解学生,才能找准教学的切入点,合理安排教学时间,便教学活动紧凑严密。
@#@@#@注意细节@#@课堂上教师温和的语调、亲切的微笑、鼓励的眼神、信任的点头,以及一个爱抚的动作,一旬赞美的短语,是构成和谐氛围的因子,是沟通情感是桥梁。
@#@问题的设置既要有挑战性,要能够起到发展学生的思维、想象的目的,但也要难易适中。
@#@语言耍准确。
@#@语言要有效。
@#@@#@理论指导@#@作为一名教师,不管学校工资待遇如何,生活环境如何,顺不顺心,都应该对所教的学生负贡。
@#@不要因为个人原因,影响了学生的发展。
@#@要么不教,要教就要教好。
@#@只要有了这种强烈的责任感,教师才会关爱学生,才会为了学生的发展而尽全力备好每一节课,上好每一节课,课堂效率才会提高。
@#@@#@备注@#@教师业务学习笔记@#@时间@#@2016年11月1日@#@学习主题@#@在体育器材不足的情况下如何进行体育课教学@#@学@#@习@#@内@#@容@#@摘@#@记@#@开展体育课教学活动需要一定的场地、设备、器材等物质条件的保证,这也是办学的最基本的条件。
@#@但是我国地域广阔,经济发展不平衡,目前有些农村中小学校尚不具备或不完全具备必需的条件。
@#@因此,农村中小学校的体育教师应本着因地制宜、因陋就简和勤俭办学的原则,自力更生创造一些条件和替代设备与器材开展好学校的体育活动,将“健康第一”的思想落实到实处,保证提高全体学生的身心健康和提高每一个学生的全面身体素质。
@#@应从以下几个方面入手创造条件搞好农村中小学校的体育教学:
@#@@#@
(一)自力更生开垦荒地修建小型场地和利用小型场进行体育教学@#@如果有些农村中小学校尚不具备或不完全具备必需的体育教学场地条件,首先通过学校与上级领导的批准,发动教师、学生,发扬自力更生的精神在校园周边开垦荒地和可利用的闲地修建可供体育教学的小型场地。
@#@通过这样的活动,既培养了教师和学生的自力更生艰苦奋斗的精神,磨练了意志,有改变了学校的校园环境。
@#@@#@大型场地可用小场地、不规则场地、复合场地等条件,如没有足球场地可用篮球、排球场地或者一片空地代替。
@#@没有田径跑道或跳远沙坑等可用河边滩地、很少人走的路,收割后平整的田地和可利用的荒山坡等。
@#@教师在组织教学时应注意平整好场地不能使学生出现伤害事故。
@#@@#@
(二)规则简单化@#@为开展好体育教学组织好学生的体育活动,可根据学生人数、年龄、身体、心理和认知水平将正规的比赛规则简单化。
@#@使全体学生都能参与到体育活动中。
@#@@#@(三)自力更生自制体育器械和器材@#@由于各地经济条件的不同,有些农村中小学校没有正规的体育器材或没有任何体育器材。
@#@体育教师应发动教师、学生发扬自力更生的精神自制体育器械,或用其它器材替代。
@#@如在墙上钉上漏底的筐子做篮球筐,篮板画在墙上,在墙上画出足球门,两树之间拉绳做排球、羽毛球等球类的网子。
@#@四块砖石就是双方的足球门柱。
@#@两个办公用的写字台就可对成小型乒乓球台等。
@#@没有标准的田径投掷器械,可以用自制沙包、木棍、竹竿、树枝、河卵石、土疙瘩等替代。
@#@@#@(四)体育教学比赛游戏化@#@体育运动项目主要来源于游戏(game)。
@#@创编一些体育游戏,变枯燥的单人的活动为双人或多人的体育游戏。
@#@如:
@#@可采取运球比赛接力、传球比赛、投准比赛、过杆接力比赛、垫球比赛、传球比赛、用球拍颠球比赛、颠球行走、对墙打球比赛;@#@走跑、跳跃和投掷赛及其走跑、跳跃与投掷混合赛等等。
@#@这些体育游戏学生易掌握,组织也较容易,很适于中小学开展。
@#@又在游戏活动中培养了学生间的交往能力。
@#@@#@备注@#@教师业务学习笔记@#@时间@#@2016年11月8日@#@学习主题@#@如何加强小学生爱国主义教育@#@学@#@习@#@内@#@容@#@摘@#@记@#@爱国主义是对祖国的忠诚和热爱,是中华民族的优良传统。
@#@对小学生进行爱国主义教育,是时代赋予小学教育的历史使命,在进行爱国教育的同时,激发起学生努力学习、热爱生活的决心,用科学文化知识武装自己,为全面参与建设小康社会打基础、做准备。
@#@@#@当代小学生是未来中国的中流砥柱,他们的思想素质直接影响到未来中国的素质,培养他们的爱国主义精神,提高他们的爱国主义觉悟,是建设以共产主义思想为核心的社会主义精神文明的一项重要任务。
@#@@#@爱祖国是指关心祖国前途命运和荣辱兴衰,并随时准备为祖国的事业而献身的一种崇高而炽烈的道德情感。
@#@因此,爱国主义教育要在帮助小学生了解祖国壮丽河山、悠久历史、灿烂文化和中华民族对人类世界的巨大贡献的同时,激发小学生的民族自尊心、自信心和自豪感,同时帮助他们了解我国近代所以落后,并客观地分析它落后的原因和未来美好的前景,激励他们刻苦学习、发愤图强的精神。
@#@@#@帮助小学生了解祖国的途径很多。
@#@如结合班校会进行国情教育;@#@利用广播、黑板报、宣传橱窗,介绍祖国的光辉历史和改革开放以来的伟大建设成就;@#@指导小学生收看新闻等电视节目,使小学生感受祖国四化建设的飞速发展。
@#@@#@在培养小学生爱国主义情感时,还要考虑小学生情感的特点,帮助他们巩固和发展积极健康的思想感情,克服情感的肤浅性、波动性,使他们懂得爱国主义情感,是一种民族的情感、阶级的情感,是一种极其深沉的情感。
@#@小学生的认识特点一般是由近及远、由具体到抽象、由感性到理性的。
@#@因此,我们组织小学生参加社会实践,引导他们进行今昔对比;@#@也可开展他们喜闻乐见的教育活动,激发他们从爱家乡、爱学校的一草一木,扩大、深化、升华为深刻的强烈的爱国之情。
@#@@#@孩子如同树苗,老师如同园丁,园丁应及时修剪小树,让一棵棵小苗沐浴在充足的水分、阳光、肥料中,小树将成材。
@#@作为小学基础工作者把握时代脉搏,抓住教育契机;@#@弘扬民族精神,更新教育内容,增强小学生的爱国意识。
@#@总之,爱国主义教育是小学教育不可缺少的重要内容。
@#@@#@备注@#@教师业务学习笔记@#@时间@#@2016年11月15日@#@学习主题@#@如何培养学生良好的学习习惯@#@学@#@习@#@内@#@容@#@摘@#@记@#@一、培养学生专心听讲的习惯@#@上课集中留意力专心听讲这是学生听懂一节课的前提。
@#@它包括两个方面的要求:
@#@首先是认真听教师讲课并留意观察教师的教具演示过程,板书内容,讲课的动作、表情等,理解教师讲课的内容。
@#@其次假如学生回答错了或回答不完整,教师应多鼓励学生,表扬他敢于“说”的勇敢精神,不要让学生觉得回答问题是种压力而不感说、不肯说。
@#@@#@二、培养学生“做作业”的习惯@#@要培育小学生良好的“做作业”的习惯应从几个方面着手:
@#@
(一)培养学生按时完成作业的习惯,要求学生的作业当天完成,并让家长配合检查督促,教师要及时收发作业。
@#@
(二)作业要独立完成,做作业时不能抄袭别人的作业,不懂的问题就向别人请教,直到理解为止。
@#@(三)作业要认真,有些学生为了去玩就很快地马虎地写完作业,作业书写页面不整洁,书写不工整,常写错字漏字.这是小学生最常犯的错误,教师就要强调作业时一心一意,专心致志地完成,并且要认真地书写好每一个汉字。
@#@(四)要学会”自我检察”,学生做完作业后,要独立自我进行检查,养成自我修改的良好习惯。
@#@(五)要有“刻苦钻研”的精神,在做作业时,碰到难题要勤查工具书,不要一碰到难题就不做了或马上请教大人,这样,学习进步就很慢。
@#@教师在教学时应经常鼓励学生要有克服困难的勇气。
@#@这要求教师和学生都要坚持不懈。
@#@@#@三、培养良好的“预习习惯”。
@#@@#@要培养小学生的预习习惯要做到以下几点:
@#@
(一)教师要起到引导的作用,有意识的在新课之前提出几个能引起学生留意的问题作为预习的作业,提高学生预习的爱好,这样坚持较长时间之后,学生对预习就有了习惯性。
@#@
(二)小学生本身要有一定的自觉性。
@#@在预习中不懂的地方打个问号或做个记号,在上课时老师可先用几分钟的时间让学生交流他们预习时所碰到不懂的问题。
@#@@#@四、各种学习习惯的有机配合@#@小学生的学习习惯有很多种,以上的三种是核心的学习习惯,要养成学生良好的学习习惯要有一一个整体的配合,相促相成。
@#@@#@五、培养良好的学习习惯的有效措施@#@
(一)、从小抓起,循序渐进,逐步发展@#@
(二)、严格要求,偶有偏离,及时调整@#@(三)树立榜样,启发自觉,互相促进。
@#@ @#@@#@备注@#@教师业务学习笔记@#@时间@#@2016年11月22日@#@学习主题@#@努力做到“三有”提高课堂教学效率@#@学@#@习@#@内@#@容@#@摘@#@记@#@@#@课堂教学在当前仍是学校教育的重要组织形式,是实施素质教育的主阵地。
@#@如何提高课堂教学效率是摆在我们任课教师面前的重要问题。
@#@一堂课如果不讲究教学的效果,总是课堂上丢了,课外补,即累了老师也累了学生,提高教学质量也就成了一句空话。
@#@那么如何提高课堂教学效率呢我觉得教师首先要做到“三有”。
@#@@#@一、心中有目标。
@#@@#@一节课,要讲什么内容,要达到什么目标,教师必须了然于胸,不能搞模糊概念。
@#@正如海上的一条大船,如果不知道目标,航船就失去了前进的方向,也失去了前进的动力。
@#@教师要明确知识目标,要清楚这节课有几个知识点,有哪些概念、公式、法则等等,那些是当堂就应该理解记住的,需要理解记住的就应该灵活多变的让学生记住。
@#@要明确技能训练目标,通过学习与训练使学生掌握哪些技能,教师必须心中有数。
@#@要重视学生情感、态度和价值观的培养。
@#@@#@二、心中有学生。
@#@@#@首先教师要清楚自己班级学生现有的学习水平,学习状况,各类学生分别占有多大的比例,只有这样你的教案设计才有针对性,可行性。
@#@其次,学生是学习的主体,教师在教学时应该时时关注学生的学习状态、学习的效果、学习中所体现出来的情绪,通过对学生的观察反馈及时调整自己的教学方法,对教案进行适当地可行性调整。
@#@我们强调认真备课,落实好教案,但是也要注意课堂的生成,对学生的回答,一定要给与认真的剖析,在反复的剖析、对比、辨析中使学生学会学习,掌握知识与技能,从而发展思维。
@#@@#@三、心中有效益。
@#@@#@教师应该认真上好每一节课,每上一节课就应该使全班学生在知识上有所收获,在能力上有所提高,在思维、情感、态度和价值观等方面有所发展和进步。
@#@哪怕是一点点的进步,也说明我们的付出不是徒劳。
@#@提高课堂教学效率也是减轻教师工作负担的重要途径,课堂教学效率提高了,课后辅导工作也就好做了。
@#@在课堂教学中,教师的“教”是为了学生的“学”,但学生不是被动的容器,而是有主观能力作用的人。
@#@学生接受教师的教,都要通过自身的内化活动来实现。
@#@从这个意义讲,教师在起主导作用的同时,必须最大限度地发挥学生的主体作用,创造一切条件,引导学生主动参与学习,使学生真正掌握学习的主动权。
@#@ @#@备注@#@教师业务学习笔记@#@时间@#@2016年11月29日@#@学习主题@#@怎样对农村小学生进行安全教育@#@学@#@习@#@内@#@容@#@摘@#@记@#@一、安全隐患的原因及现象@#@农村地域广阔,有山坡、水田、河流、池塘、水库、乡村道路、农贸与集市等,小学生活动的空间常常难以受到太多的约束和限制,加上好玩特性、独立处事能力还低下,他们的行为活动常常会发生意外。
@#@@#@二、农村安全教育工作的策略@#@1、从思想认识上解决安全教育的问题。
@#@@#@(I)安全教育不是一个人的事情,需要的是每一个人——学生、老师、家长共同参与为安全把关。
@#@@#@
(2)安全教育时时注意、天天讲。
@#@安全教育是时时刻刻都要注意的事情,因为在我们身边每时每刻都有可能存在着潜在的安全隐患,需要我们时刻去提防和注意,不能懈怠。
@#@@#@(3)处处小心,处处提防。
@#@“危机四伏”虽然有点危言耸听,但实际生活中,安全隐患还是到处存在的。
@#@@#@2、学习安全防范自救知识。
@#@@#@
(1)邀请各行业专业人士到校给学生讲课。
@#@让学生们能够更多地了解安全教育知识,加强学生自我的保护防范意识。
@#@@#@
(2)借助一些安全题材的教育片,对学生进行安全教育。
@#@@#@(3)重视安全教育教材的教学。
@#@教材也是很好的安全教育题材,我们要发掘教材内容,结合现实生活中的安全事故施教,使我们的安全教育工作做得更完善。
@#@@#@3、学校与社会配合,共同营造安全教育的氛围。
@#@@#@
(1)开设校级班级的安全教育讨论会。
@#@@#@让学校领导与老师,老师与学生,同学与同学之间,互相学习讨论,营造一种热烈学习安全教育知识的氛围,让安全在大家心中占据重要的位置。
@#@@#@
(2)组织家长参加和召开安全教育讨论会。
@#@让家长重视和支持并配合学校一起来共同做好学生们的安全教育工作。
@#@@#@(3)组织学生们参与社会实践活动,亲身实践学习安全知识,掌握更多安全的自救自护技能。
@#@@#@4、动员家长给学生购买人身意外保险。
@#@@#@动员家庭经济条件允许的家长自愿给学生购买人身意外保险,让学生参与到社会健康保障的体系中来,让社会与家庭共同承担多种意外伤害的风险,给家庭减少承担风险的压力。
@#@@#@备注@#@教师业务学习笔记@#@时间@#@2012年12月6日@#@主持@#@李欣兰@#@学习主题@#@多媒体在识字教学中的巧用@#@学习对象@#@学@#@习@#@内@#@容@#@摘@#@记@#@一、创设情境,激发兴趣。
@#@@#@激发学生的兴趣,这正";i:
9;s:
15464:
"MATLAB设计FPGA实现联合ISE和Modelsim仿真的FIR滤波器设计@#@图3@#@用MATLAB回读C盘根目录下的matlab_wave_data.txt文件,验证存入的波形数据是否正确,MATLAB代码如下:
@#@@#@fid=fopen('@#@c:
@#@/matlab_wave_data.txt'@#@,'@#@r'@#@);@#@@#@fori=1:
@#@5001;@#@%一共有5001个数据@#@num(i)=fscanf(fid,'@#@%x'@#@,1);@#@%从fid所指的文件中,以16进制的方式读出一个数据@#@end@#@fclose(fid);@#@@#@figure
(2);@#@@#@plot(num,'@#@b'@#@);@#@@#@legend('@#@MATLAB从txt文件中读出的原始叠加波形数据'@#@);@#@@#@title('@#@直接回读MATLAB产生的两个正弦信号的叠加波形'@#@);@#@@#@显示的波形如图4所示:
@#@@#@图4@#@对比图4和图2中的叠加波形,可知以上操作的正确性。
@#@@#@1)用MATLAB设计FIR滤波器@#@输入信号是频率别为0.5MHz和2MHz的正弦信号的叠加,我们的任务是设计一个低通滤波器滤除掉2MHz的干扰信号。
@#@因此,我们可以设计一个采样率为25MHz的低通滤波器,其通带带宽为1MHz,阻带宽度为2MHz。
@#@通带内纹波抖动为1dB,阻带下降为80dB。
@#@@#@在MATLAB的命令窗口输入:
@#@fdatool命令并回车,打开FDATool工具箱,用MATLAB的FDATool工具设计该滤波器,参数设置如图5所示:
@#@@#@设计好参数后,点击DesignFilter,可以在FDATool窗口的左上角看到滤波器的阶数为63阶,点击FileGenerateM-file,并将滤波器命名为mylowfilter。
@#@@#@图5@#@编写如下代码,滤除混叠信号中的高频信号。
@#@@#@Hd=mylowfilter;@#@%滤波器名称@#@output=filter(Hd,y1);@#@%对叠加信号y,进行滤波处理@#@figure(3);@#@@#@plot(y2,'@#@k'@#@);@#@@#@holdon;@#@@#@plot(output,'@#@r'@#@);@#@@#@legend('@#@0.5MHz原始信号'@#@,'@#@滤波后取出的信号'@#@);@#@@#@title('@#@信号通过MTALAB的低通滤波器后的波形'@#@);@#@@#@滤波后的波形如图6所示:
@#@@#@图6@#@生成.coe文件,用于Xilinx的IPCore设计滤波器,FDATool窗口点击FileExport…,保持默认设置,点击Export即可,次数在MATLAB的workspace窗口多出一个Num的1*64的数组,这就是滤波器的系数,如图7所示:
@#@@#@图7@#@由于MATLAB生成的滤波器系数全是一些小数,而FPGA只能处理整数,因此我们必须将这些小数扩大一定的倍数,使它们变成整数。
@#@@#@在MATLAB的命令窗口输入下面的一段代码然后按Enter,即可将上面这些系数变为整数,注意这里的*32767,表示将系数扩大32767倍,这里的扩大倍数只能选2^N,目的是为了后面滤波后的波形数据的高位截取(丢掉低位,即除以2^N)。
@#@返回ans=0,表示操作正确。
@#@@#@coeff=round(Num/max(abs(Num))*32767);@#@@#@%abs()求绝对值,max()求最大值,round()四舍五入@#@fid=fopen('@#@e:
@#@/fircoe.txt'@#@,'@#@wt'@#@);@#@%将滤波器系数写入文件件中@#@fprintf(fid,'@#@%16.0f\n'@#@,coeff);@#@%将滤波器系数以16位浮点数的格式保存@#@fclose(fid)@#@程序运行的结果如图8所示:
@#@@#@图8@#@将文件的格式改为.coe格式,在文件的开口加上:
@#@@#@radix=10;@#@@#@coefdata=@#@图11@#@图12@#@FIR的IPCore的列化如下:
@#@@#@FIR16_IPFIR16_IP_ins(@#@.clk(clk),//inputclk@#@.rfd(rfd),//outputrfd在其上升沿将输入数据加载到滤波器内核中@#@.rdy(rdy),//outputrdy在其上升沿输出滤波器的计算结果@#@.din(data_in_reg),//input[15:
@#@0]din@#@.dout(dout));@#@//output[35:
@#@0]dout特别注意这个数据位宽@#@我们主要对其进行简单的控制:
@#@在rfd上升沿将输入数据加载到滤波器内核中,在rdy上升沿输出滤波器的计算结果。
@#@具体的Verilog代码如下:
@#@@#@always@(posedgeclk)begin@#@if(reset==1'@#@b0)begin@#@i<@#@=1'@#@b0;@#@@#@m<@#@=1'@#@b0;@#@@#@data_in_reg<@#@=16'@#@h0000;@#@@#@end@#@elsebegin@#@rfd_1q<@#@=rfd;@#@@#@rfd_2q<@#@=rfd_1q;@#@@#@if(rfd_1q&@#@~rfd)begin//rfd信号的上升沿将输入数据加载到滤波器内核中@#@data_in_reg<@#@=data_in[i];@#@@#@i<@#@=i+1;@#@@#@m<@#@=~m;@#@@#@if(i==2002)@#@i<@#@=0;@#@@#@end@#@end@#@end@#@always@(posedgeclk)begin@#@if(reset==1'@#@b0)begin@#@Data_out_reg<@#@=0;@#@@#@j<@#@=0;@#@@#@n<@#@=0;@#@@#@end@#@elsebegin@#@rdy_1q<@#@=rdy;@#@@#@rdy_2q<@#@=rdy_1q;@#@@#@if(rdy_1q&@#@~rdy)begin@#@Data_out_reg<@#@=dout;@#@@#@j<@#@=j+1'@#@b1;@#@@#@n<@#@=~n;@#@@#@end@#@end@#@end@#@这里还做了一个附加功能,将FIR滤波器的输入数据存放到一个.txt文件当中,然后用MATLAB去读取这个波形文件数据,看看读出的波形是否和原来的混叠波形一样。
@#@具体的Verilog和MATLAB代码如下:
@#@@#@integerwr_file;@#@@#@initialwr_file=$fopen("@#@c:
@#@/FIR_in_data.txt"@#@);@#@@#@always@(m)begin@#@if(reset==1'@#@b1)begin@#@$fdisplay(wr_file,"@#@%h"@#@,data_in_reg);@#@//33bit数@#@if(j==11'@#@d2002)//共写入2001个数据@#@$stop;@#@@#@end@#@end@#@fid=fopen('@#@c:
@#@/FIR_in_data.txt'@#@,'@#@r'@#@);@#@@#@forj=1:
@#@2000;@#@@#@num1(j)=fscanf(fid,'@#@%x'@#@,1);@#@%这句话的意思是从fid所指的文件以16进制方式读出一个数据。
@#@@#@end@#@fclose(fid);@#@@#@figure(4);@#@@#@plot(num1,'@#@r'@#@);@#@@#@legend('@#@Verilog读出的txt文件中的数据'@#@);@#@@#@title('@#@FIR滤波器的输入数据'@#@);@#@@#@MATLAB读出的波形数据如图13所示:
@#@@#@图13@#@这里我也搞了好久才搞好,这里FIR滤波器的输出数据位宽变成了36bit,而输入数据位宽是16bit,为什么数据会变大几万倍呢?
@#@@#@因为我们在将滤波器的系数由小数变成整数的时候,对这些系数整体扩大了32767倍,再做了一个四舍五入(影响滤波器精度),对滤波器的系数扩大的倍数越大,四舍五入对精度的影响就越小,但是系数乘的倍数越大,FPGA在做乘加运算也就越复杂,也就越耗时,越耗资源,因此我们需要找一个平衡点。
@#@@#@这里为了将信号的幅度变回原始的幅度(尽可能的靠近),我们只能通过将低位截取掉,截取低位相当于对数据做除法(除2),所以前面的滤波器系数的扩大倍数我们一定要用2^N,这样我们在这里还原信号幅度的时候,只需要截位就能达到目的。
@#@@#@比如这里我们对滤波器的系数乘了32767,那我们在做除法还原波形幅度时,只需要除以32767即可(即截掉低16bit)。
@#@还有一种操作方式就是我们只保留数据的高16bit(和输入数据的位宽保持一致),这两种方式波形的幅度也就几倍的差距,我还没有完全搞懂这里,究竟怎样才能将波形的幅度完全的还原回去,还是一个值得好好思考的问题?
@#@@#@另一个问题是我的电脑是32bit位宽的,如果我们一次性让MATLAB读取36bit的数据那么数据的高4bit会读不上来,会导致很奇怪的波形,我也遇到了这个问题。
@#@如图14所示,波形明显可以通过一些平移拼合成一个正弦波。
@#@通过对波形数据一个个的分析,我找到了这个问题。
@#@@#@图14@#@图15是波形幅度发生变化的截图:
@#@@#@图15@#@字滤波器的性能,肯定能够大大的缩短设计周期,提升滤波器性能。
@#@@#@附录:
@#@@#@附录为Verilog源代码和MATLAB源代码,这些源代码是经过调试的,是可以直接使用的。
@#@供大家参考。
@#@@#@Verilog源代码:
@#@@#@moduleFIR_Lowpass(@#@clk,@#@reset,@#@Data_out@#@);@#@@#@inputclk;@#@@#@inputreset;@#@@#@output[31:
@#@0]Data_out;@#@@#@reg[35:
@#@0]Data_out_reg;@#@@#@reg[10:
@#@0]i=0;@#@@#@reg[10:
@#@0]j=0;@#@@#@reg[15:
@#@0]data_in[0:
@#@2000];@#@//定义一个16bit*2001的数组@#@reg[15:
@#@0]data_in_reg=0;@#@@#@initialbegin//读出MATLAB产生的波形数据0.5MHz_sin+4MHz_sin信号@#@$readmemh("@#@c:
@#@/matlab_wave_data.txt"@#@,data_in);@#@//将matlab_wave_data.txt中的数据读入存储器data_in@#@end@#@wirerfd;@#@@#@wirerdy;@#@@#@wire[35:
@#@0]dout;@#@@#@regrfd_1q;@#@@#@regrfd_2q;@#@@#@regrdy_1q;@#@@#@regrdy_2q;@#@@#@regn=0;@#@@#@regm=0;@#@@#@always@(posedgeclk)begin@#@if(reset==1'@#@b0)begin@#@i<@#@=1'@#@b0;@#@@#@m<@#@=1'@#@b0;@#@@#@data_in_reg<@#@=16'@#@h0000;@#@@#@end@#@elsebegin@#@rfd_1q<@#@=rfd;@#@@#@rfd_2q<@#@=rfd_1q;@#@@#@if(rfd_1q&@#@~rfd)begin//rfd信号的上升沿将输入数据加载到滤波器内核中@#@data_in_reg<@#@=data_in[i];@#@@#@i<@#@=i+1;@#@@#@m<@#@=~m;@#@@#@if(i==2002)@#@i<@#@=0;@#@@#@end@#@end@#@end@#@FIR16_IPFIR16_IP_ins(@#@.clk(clk),//inputclk@#@.rfd(rfd),//outputrfd在其上升沿将输入数据加载到滤波器内核中@#@.rdy(rdy),//outputrdy在其上升沿输出滤波器的计算结果@#@.din(data_in_reg),//input[15:
@#@0]din@#@.dout(dout));@#@//output[35:
@#@0]dout@#@always@(posedgeclk)begin@#@if(reset==1'@#@b0)begin@#@Data_out_reg<@#@=0;@#@@#@j<@#@=0;@#@@#@n<@#@=0;@#@@#@end@#@elsebegin@#@rdy_1q<@#@=rdy;@#@@#@rdy_2q<@#@=rdy_1q;@#@@#@if(rdy_1q&@#@~rdy)begin@#@Data_out_reg<@#@=dout;@#@@#@j<@#@=j+1'@#@b1;@#@@#@n<@#@=~n;@#@@#@end@#@end@#@end@#@//刚刚的问题是,matlab读一个数据是32bit的,而FIR的输出是36bit的,因此高4bit根本没有读上来。
@#@@#@//assignData_out[31:
@#@0]=Data_out_reg[35:
@#@4];@#@@#@assignData_out[31:
@#@0]=Data_out_reg[35:
@#@4];@#@@#@//************************************************************@#@integerwr_file;@#@@#@initialwr_file=$fopen("@#@c:
@#@/FIR_in_data.txt"@#@);@#@@#@always@(m)begin@#@if(reset==1'@#@b1)begin@#@$fdisplay(wr_file,"@#@%h"@#@,data_in_reg);@#@//33bit数@#@if(j==11'@#@d2002)//共写入2001个数据@#@$stop;@#@@#@end@#@end@#@//************************************************************@#@integerw_file;@#@@#@initialw_file=$fopen("@#@c:
@#@/FIR_out.txt"@#@);@#@@#@always@(n)begin@#@if(reset==1'@#@b1)begin@#@$fdisplay(w_file,"@#@%h"@#@,Data_out[31:
@#@14]);@#@//33bit数@#@if(j==11'@#@d2002)//共写入2001个数据@#@$stop;@#@@#@end@#@end@#@endmodule@#@ @#@@#@MATLAB源代码@#@%**********************MATLAB产生信号并保存到.txt文件中*******************@#@clearall;@#@@#@fs=25000000;@#@%25M采样率@#@t=0:
@#@1/fs:
@#@0.0002;@#@%共0.0002*25000000=5000个点@#@f1=500000;@#@@#@f2=2000000;@#@@#@signal1=sin(2*pi*f1*t);@#@%频率为0.5MHz的正弦信号@#@signal2=sin(2*pi*f2*t);@#@%频率为4.0MHz的正弦信号@#@%y1=signal1+signal2;@#@%两个正弦信号叠加@#@%x=linspace(0,12.56,2048);@#@%在区间[0,6.28]=2*pi之间等间隔的取1024个点@#@%y1=sin(x);@#@%计算相应的余弦值@#@%由于正、余弦波形的值在[0,1]之间,需要量化成16bit,先将数值放大@#@%y1=y1*32768;@#@%32*1024=32768@#@%y1=y1*16384;@#@%32*1024=32768@#@%y1=y1+32768;@#@@#@y2=fix(16384+(2^14-1)*signal1);@#@@#@y3=fix(16384+(2^14-1)*signal2);@#@@#@y1=y2+y3;@#@@#@%再将放大的浮点值量化,并写道到存放在C盘的文本中@#@fid=fopen('@#@c:
@#@/matlab_wave_data.txt'@#@,'@#@wt'@#@);@#@@#@%fprintf(fid,'@#@%16.0f\n'@#@,y1);@#@%在写文件时量化为16bit的定点实数【%16.0f,16.0表示16bit定点数,f表示实数】,范围是:
@#@-32768-32767@#@fprintf(fid,'@#@%x\n'@#@,y1);@#@%在写文件时量化为16bit的定点实数【%16.0f,16.0表示16bit定点数,f表示实数】,范围是:
@#@-32768-32767@#@fclose(fid);@#@@#@figure
(1);@#@@#@plot(y2,'@#@b'@#@);@#@@#@holdon;@#@@#@plot(y3,'@#@b'@#@);@#@@#@holdon;@#@@#@plot(y1,'@#@r'@#@);@#@@#@legend('@#@0.5MHz正弦'@#@,'@#@2MHz正弦'@#@,'@#@两者叠加'@#@);@#@@#@title('@#@MATLAB产生的两个正弦信号的叠加波形'@#@);@#@@#@%**********************MATLAB回读保存到.txt文件中的信号*******************@#@fid=fopen('@#@c:
@#@/matlab_wave_data.txt'@#@,'@#@r'@#@);@#@@#@fori=1:
@#@5001;@#@@#@%num(i)=fscanf(fid,'@#@%f'@#@,1);@#@%从fid所指的文件中,以实数的方式读出一个数据@#@num(i)=fscanf(fid,'@#@%x'@#@,1);@#@%从fid所指的文件中,以实数的方式读出一个数据@#@end@#@fclose(fid);@#@@#@figure
(2);@#@@#@plot(num,'@#@b'@#@);@#@@#@legend('@#@MATLAB从txt文件中读出的原始叠加波形数据'@#@);@#@@#@title('@#@直接回读MATLAB产生的两个正弦信号的叠加波形'@#@);@#@@#@%*****************MATLAB设计FIR滤波器并对比滤波器前后的波形****************@#@Hd=mylowfilter;@#@%滤波器名称@#@output=filter(Hd,y1);@#@%对叠加信号y,进行滤波处理@#@figure(3);@#@@#@plot(y2,'@#@k'@#@);@#@@#@holdon;@#@@#@plot(output,'@#@r'@#@);@#@@#@legend('@#@0.5MHz原始信号'@#@,'@#@滤波后取出的信号'@#@);@#@@#@title('@#@信号通过MTALAB的低通滤波器后的波形'@#@);@#@@#@%*********MATLAB回读FIR滤波器的输入数据***************@#@fid=fopen('@#@c:
@#@/FIR_in_data.txt'@#@,'@#@r'@#@);@#@@#@forj=1:
@#@2000;@#@@#@num1(j)=fscanf(fid,'@#@%x'@#@,1);@#@%这句话的意思是从fid所指的文件以16进制方式读出一个数据。
@#@@#@end@#@fclose(fid);@#@@#@figure(4);@#@@#@plot(num1,'@#@r'@#@);@#@@#@legend('@#@Verilog读出的txt文件中的数据'@#@);@#@@#@title('@#@FIR滤波器的输入数据'@#@);@#@@#@%*********MATLAB回读FIR滤波器滤波后的数据(FIR由Verilog实现)***************@#@fid=fopen('@#@c:
@#@/FIR_out.txt'@#@,'@#@r'@#@);@#@@#@fori=1:
@#@2000;@#@@#@num(i)=fscanf(fid,'@#@%x'@#@,1);@#@%这句话的意思是从fid所指的文件以16进制方式读出一个数据。
@#@@#@end@#@fclose(fid);@#@@#@figure(5);@#@@#@plot(num,'@#@r'@#@);@#@@#@y4=y2;@#@@#@holdon;@#@@#@plot(y4,'@#@k'@#@);@#@@#@legend('@#@经过FIR_IPCore滤波后的数据'@#@,'@#@0.5MHz的原始数据放大16384倍'@#@);@#@@#@title('@#@经过FIR滤波器的输出数据'@#@);@#@@#@ @#@@#@";i:
10;s:
7682:
"六年级英语毕业总复习
(二)@#@代词@#@
(一)人称代词@#@一、概念@#@人称代词是表示我、你、他/她/它、我们、你们和(他/她/它)们的词。
@#@人称代词有人称、格和数的变化,具体见下表:
@#@@#@数@#@格@#@人@#@称@#@单数@#@复数@#@主格@#@宾格@#@主格@#@宾格@#@第一人称@#@I@#@me@#@we@#@us@#@第二人称@#@you@#@you@#@you@#@you@#@第三人称@#@he@#@him@#@they@#@them@#@she@#@her@#@it@#@it@#@二、人称代词的用法@#@1.一般情况下,人称代词的主格作主语,放在句子的开头。
@#@@#@Iamateacher.我是一名教师。
@#@(I是主语)@#@Youare11yearsold.你11岁了。
@#@(You是主语)@#@Heismyfriend.他是我的朋友。
@#@(He是主语)@#@Itishottoday.今天天气热。
@#@(It是主语)@#@2.宾格作宾语,通常放在动词,如:
@#@let,like,help,give,ask等的后面;@#@或介词,如:
@#@for,to,of,at等的后面。
@#@@#@Canyouhelpme?
@#@你能帮我吗?
@#@(me是宾语)@#@Thecakeisforyou. @#@ @#@ @#@这个蛋糕是给你的。
@#@(you是宾语)@#@3.作表语(用主格,口语中常用宾格)@#@Whoisthat?
@#@It'@#@sme(I).是谁啊?
@#@是我。
@#@@#@三、注意@#@1.人称代词I无论放在句首、句中或句尾,都要大写。
@#@@#@2.人称代词she除了指女性“她”之外,还可以指祖国、月亮等拟人的东西。
@#@@#@如:
@#@Ilovemycountry.Sheisgreat.@#@Themoonisinthesky.Sheisroundandbright.@#@四、It的特殊用法@#@1.一般情况下,it表示人以外的动物和东西,是单数名词的代词,译为“它”。
@#@@#@Whereisyourcar?
@#@Itisoverthere.你的小汽车在哪儿?
@#@(它)在那边。
@#@@#@2.但在表示天气、时间、距离等时,用it来代替,此时的it,并不译为“它”。
@#@@#@1)指天气:
@#@Itissunnytoday.今天阳光灿烂。
@#@@#@2)指气候:
@#@It'@#@scoldinthisroom.这个房间很冷。
@#@@#@3)指时间:
@#@Whattimeisit?
@#@It'@#@ssixthirty.几点了?
@#@六点半了。
@#@@#@4)指距离:
@#@Howfarisitfromheretothepark?
@#@从这儿到公园有多远?
@#@It'@#@sabouttwomiles.大约是二英里。
@#@@#@五、人称的习惯顺序:
@#@@#@如果有几个不同的人称同时作主语,且用and,or连接时,习惯顺序是:
@#@单数:
@#@you,he,andI复数:
@#@we,you,andthey@#@但是,如果是做错事,承担责任时,有时,说话的人把I放在第一位。
@#@@#@Whobrokethewindow?
@#@谁打碎了玻璃?
@#@IandLiMing.我和李明.@#@
(二)物主代词@#@ 表示所有关系的代词叫做物主代词,也可叫做代词所有格。
@#@物主代词分形容性物主代词和名词性物主代词二种,物主代词也有人称和数的变化,见下表:
@#@@#@数@#@性@#@人@#@称@#@单数@#@复数@#@形容词性@#@名词性@#@形容词性@#@名词性@#@第一人称@#@my@#@mine@#@our@#@ours@#@第二人称@#@your@#@yours@#@your@#@yours@#@第三人称@#@his@#@his@#@their@#@theirs@#@her@#@hers@#@its@#@its@#@通过观察上表,我们发现,除了my的名词性物主代词是mine,his和its的名词性和形容词性相同外,其他的名词性物主代词都是在对应的形容词性物主代词后面加“s”变成的。
@#@形容词性物主代词用来修饰名词,表示是属于谁的东西。
@#@名词性物主代词等于对应的形容词性物主代词加一个名词。
@#@如:
@#@@#@Thisisherbook.=Thisbookishers.@#@Aretheseyourpens?
@#@=Arethesepensyours?
@#@@#@(三)替代词@#@替代词用来替代前面已经提到过的人或事物,来避免重复这一名词。
@#@最典型的替代词有one和ones。
@#@one用来替代表示单数的人或事,ones用来替代表示复数的人或事物。
@#@@#@如:
@#@Thereisapostofficenearmyhome.Thereisonenearourschool,too.(one=postoffice)@#@Ihave3newbooksand6oldones.(ones=books)@#@注:
@#@it和one的比较@#@代词it和one都可以用来代替单数可数名词,但it代替特定之物,one代替泛指之物.@#@如:
@#@Iwanttoborrowyourknife.Lendittome.(it特指你那把刀)@#@Idon’thaveaknife.Lendmeone.(one泛指任意一把刀)@#@(四)指示代词@#@表示“这个”、“那个”、“这些”、“那些”等指示概念的代词叫做指示代词。
@#@指示代词有this,that,these,those等。
@#@@#@this(复数形式是these),是指在时间上或空间上离说话人较近的人或物。
@#@@#@that(复数形式是those),是指在时间上或空间上离说话人较远的人或物。
@#@@#@相关练习题@#@一、填写下面的表格。
@#@@#@格@#@性@#@数@#@人@#@称@#@主格@#@宾格@#@形容词性物主代词@#@名词性物主代词@#@第一人称@#@单数@#@I@#@my@#@复数@#@us@#@ours@#@第二人称@#@单数@#@you@#@your@#@yours@#@复数@#@you@#@第三人称@#@单数@#@him@#@his@#@she@#@her@#@it@#@its@#@复数@#@them@#@二、用人称代词代替下单词或词组。
@#@@#@4@#@1.Mike@#@2.MrWhite@#@3.TomandI@#@4.JoanandJohn@#@5.Myfather@#@6.therabbit@#@7.MissWhite@#@8.Kate,TimandI@#@9.Joan’smother@#@10.theirbrother@#@三、选词填空。
@#@@#@1.Hello.amPeter.Thisisfamily.Theylovesomuch.@#@A.I@#@B.my@#@C.me@#@2.Tomisapupil.likessports.Look,friendsareplayingfootballwithnow.@#@A.He@#@B.him@#@C.his@#@3.Englishteacheriskindandfunny.alllikeherandshelikestoo.@#@A.We@#@B.Our@#@C.us@#@4.nameisBetty.isverybeautiful.haslonghairandbigeyes.@#@A.Her@#@B.She@#@四、用代词的适当形式填空。
@#@@#@1.Thereisaletterfor @#@ @#@________(her、hers)mother.@#@2.Thatis_____(she)coat.Thecoatisred. @#@ @#@______(its)isanewone.@#@3.Whosepensarethese?
@#@_______(their)are______(we).@#@4.Give_______(he)atoy,please.@#@5.________(we)eyesareblack._______(us)comefromJapan.@#@6.TimandBillaretwins._______(their)arefromEngland._________ @#@ @#@(them)parentsareteachersintheNo.6MiddleSchool.@#@7.Givethebookto__________(I).@#@8.Thesebooksare__________(we).@#@9.Thatisnot_________kite.Thatkiteisverysmall,but_________isverybig. @#@ @#@(I)@#@10.Thedressis_________.Giveitto_________.(she) @#@ @#@ @#@ @#@ @#@ @#@@#@11.Isthis_________watch?
@#@(you)No,it’snot_________.(I)@#@12._________ismybrother._________nameisJack.Look!
@#@Thosestampsare_________.(he)@#@13.Icanfindmytoy,butwhere’s_________?
@#@(you)@#@14.Show_________yourkite,OK?
@#@(they)@#@15.Ihaveabeautifulcat._______nameisMimi.Thesecakesare_______.(it)@#@16. @#@_______ismyaunt.Doyouknow________job?
@#@________isanurse.(she)@#@17.Thatisnot_________camera._________isathome.(he)@#@18.Whereare________?
@#@Ican’tfind_________.Let’scall_________parents.(they)@#@19. @#@_________don’tknowhername.Wouldyoupleasetell_________.(we)@#@20.Thegirlbehind_________isourfriend.(she)@#@";i:
11;s:
10746:
"向祖国报告庆祝建国60周年大型歌会主持词@#@向祖国报告,庆祝建国60周年大型歌会主持词@#@ 尊敬的各位领导,各位来宾:
@#@@#@ 亲爱的观众朋友们:
@#@@#@ 晚上好!
@#@@#@ 在这风清月明的中山广场,@#@ 在这浪平波宁的甬江之畔,@#@ 我们相聚在今晚,@#@ 举行“向祖国报告”海曙区庆祝建国60周年大型歌会,@#@ 群情激昂如滔滔江水,@#@ 心潮逐浪高-----@#@ 东海风低吟亲爱的祖国母亲般的温柔,@#@ 三江潮高歌伟大的祖国母亲般的坚强,@#@ 海曙儿女们,人人——@#@ 向祖国报告:
@#@@#@ 报告建国60年来社会主义现代化建设的伟大成就,@#@ 向祖国报告:
@#@@#@ 报告三江六岸中心城区各界群众炽热的爱国情怀。
@#@@#@ 请伟大的祖国,请伟大的母亲,倾听我们的心声——@#@ 序幕:
@#@倾听祖国@#@ 【音舞诗画·@#@音乐起,诗朗诵,合唱队作为背景,舞蹈雕塑造型。
@#@朗诵结束,引出大型歌舞《国家》,男女声领歌、合唱。
@#@@#@ 表演单位:
@#@@#@ 诗朗诵……区文化馆@#@ @#@ 领唱……区文化馆@#@ @#@ 舞蹈……区文化馆@#@ @#@ @#@ 伴唱……区教育局@#@ 是呀,有了强的国才有富的家@#@ 国的家住在心里家的国以和矗立@#@ 国是荣誉的毅力家是幸福的洋溢@#@ 国的每一寸土地家的每一个足迹@#@ 国与家连在一起创造地球的奇迹@#@ 忆往昔峥嵘岁月稠,@#@ 把酒飞歌今日骄傲!
@#@@#@ @#@ 神州大地金桂飘香,,@#@ 长城内外红歌激荡,@#@ 伟大祖国六十华诞,@#@ 海曙人民尽情欢唱——请欣赏——@#@ 第一篇章:
@#@激扬的颂歌@#@ 1、合唱《今天是你的生日,中国》表演单位……区教育局@#@ 亲爱的中国,今天是您六十岁的生日;@#@@#@ 亲爱的妈妈,今天是您六十岁的生日;@#@@#@ 六十年啊,人类历史的一瞬间,@#@ 六十年啊,人生旅途的一甲子,@#@ 六十年啊,祖国自强的一巨变,@#@ 六十年啊,中华儿女的爱您的一颗中国红心,@#@ 不变,永远不变——@#@ 不变,永远不变——@#@ 天地可鉴,日月可明!
@#@请听——@#@ 2、合唱《我的中国心》@#@ 表演单位……区zd委@#@ 我的中国心永远不变,@#@ 我的中国情永远不变,@#@ 祖国的利益高于一切,@#@ 人民的利益高于一切,@#@ 为祖国的利益,铁血男儿代代有,@#@ 为人民的利益,巾帼女儿更风流!
@#@@#@ 请欣赏——@#@ 3、女声表演唱《巾帼检察官之歌》表演单位……区zd委@#@ 你和我,心连心,@#@ 你和我,情牵情,@#@ 你和我,血脉相连,@#@ 你和我,永远是绿叶和根,@#@ 我和你,一刻也不能分割@#@ 无论我走到哪里都流出一首赞歌@#@ 我的祖国和我像海和浪花一朵@#@ 我分担着海的忧愁分享着海的欢乐@#@ 我和我的祖国一刻也不能分割@#@ 请听——@#@ 4、合唱《我和我的祖国》@#@ 表演单位……区卫生局@#@ 你和我,心连心,@#@ 你和我,手牵手,@#@ 你和我,血脉相连,@#@ 你和我,永远是绿叶和根,@#@ 我们都是你的儿女,@#@ 无论男女老少,无论天南地北,@#@ 你就是我们的母亲,@#@ 这,就是中华儿女赤诚的心声@#@ 这,就是中华儿女回荡气回肠@#@ 响彻云霄,响彻天地的回声@#@ 请欣赏——@#@ 第二篇章:
@#@天地的回声@#@ 5、音舞诗(81890内容)@#@ 表演单位……区文化馆@#@ 81890作为海曙人的创意,@#@ 如今成了全国的知名品牌@#@ 81890作为海曙人的创意,@#@ 立足于全心全意为人民服务,@#@ 立足于整合资源为人民服务@#@ 这种真诚有效的为人民报务@#@ 如同雪中送炭雨中送伞@#@ 如同春风拂面冬日暖阳@#@ 那以人为本的高效服务,@#@ 如同飞来的片片花瓣,暖人心怀,感人情怀,@#@ 请听——@#@ 6、合唱《飞来的花瓣》@#@ 表演单位……鼓楼街道@#@ 飞来的花瓣@#@ 撒满大中国的山河大地@#@ 飞来的花瓣@#@ 撒进中国人的碧血心田@#@ 我们的大中国呀@#@ 好大的一个家@#@ 如今处处歌唱着伟大的母亲六十年的辉煌@#@ 我们的大中国呀@#@ 好美的一个家@#@ 如今处处描绘着亲爱的母亲六十年的美貌@#@ 我们的大中国呀@#@ 好强的一个家@#@ 骄傲地耸立于世界民族之林,@#@ 让老外由衷地惊叹,中国,ok!
@#@顶呱呱!
@#@@#@ 请听——@#@ 7、合唱《大中国》@#@ 表演单位……区机关党工委@#@ 当今世界,国际形势风云变幻,伟大的祖国正处于奋起的时代@#@ 当今社会,国内形势欣欣向荣,伟大的母亲正处于美丽的时代@#@ 当今海曙,区内形势天天向上,伟大的人民正处于豪迈的时代@#@ 奋起的时代,激发奋起的祖国迈开巨人的步伐带领人民勇向前@#@ 奋起的时代,激发奋起的人民高举双臂的力量齐为祖国共争光@#@ 请看激情澎湃,气吞万里的——@#@ 第三篇章:
@#@奋起的时代@#@ @#@ 奋起的时代,为我们插上理想的翅膀高高飞翔@#@ 奋起的时代,我们的梦想变现实努力奋起直追,达到和祥的境界@#@ @#@ 那遥远的太空,将我们的目光拉远@#@ 那深邃的天空,有千万个奥秘隐藏@#@ 那璀璨的银河,有多少个星球游戏@#@ 那浩瀚的太空,有多少个谜团待解@#@ 那弯弯的月亮是我们的飞船@#@ 心中的理想@#@ 是前进的风帆@#@ 那闪烁的星星就是我们探索的目光@#@ 那神秘的银河是我们的课堂@#@ 那闪烁的星星就是我们探索的目光@#@ 请欣赏—--@#@ 10、童声组唱《梦飞》@#@ 表演单位……区文化馆@#@ 祖国,亲爱的母亲,@#@ 我们心中永远有一张美丽的脸,@#@ 那就是您高高飘扬的五星红旗@#@ 母亲,伟大的祖国@#@ 我们心中永远有一张美丽的图@#@ 那就是您高高飘扬的五星红旗@#@ 那是比我们生命更重要的红旗@#@ 那是比我们生命更可贵的美脸@#@ 请听——@#@ 11、合唱《红旗飘飘》@#@ 表演单位……西门街道@#@ 祖国,亲爱的母亲@#@ 让你的儿女尽情赞美你@#@ 你的花朵真红火@#@ 你的果实真丰硕@#@ 你的土地真肥沃@#@ 你的道路真宽阔@#@ 祖国我的母亲@#@ 祝福你我的祖国@#@ 我把壮丽的青春献给你@#@ 愿你永远年轻永远快乐@#@ 我把满腔赤诚献给你@#@ 愿你永远坚强永远蓬勃@#@ 请听——@#@ 12、合唱《祝福祖国》@#@ 表演单位……月湖街道@#@ 爱我中华,爱我们亲爱的母亲@#@ 爱我中华,爱我们伟大的祖国@#@ 爱我中华,五六十个民族亲如一家@#@ 爱我中华,让世界看看中国的力量@#@ 请听——@#@ 13、合唱《爱我中华》@#@ 表演单位……南门街道@#@ 祖国,亲爱的母亲@#@ 我们不要战争要和平@#@ 祖国,亲爱的母亲@#@ 如果有人来侵犯你@#@ 当你亲爱的母亲召唤的时候,@#@ 当你亲爱的祖国召唤的时候@#@ 我们就是挺起胸膛的排头兵@#@ 万众一心,我们就是董存瑞@#@ 众志成城,我们就是黄继光@#@ 奋勇争当突击手@#@ 把光荣写在军旗上@#@ 你的儿女个个争当英雄@#@ 前进,为了亲爱的母亲的荣誉,前进!
@#@@#@ 战斗,为了伟大的祖国的荣光,战斗!
@#@@#@ 前进前进战斗战斗@#@ 祖国啊母亲,只要您一声召唤的时候@#@ 请听——@#@ 14、合唱《当祖国召唤的时候》@#@ 表演单位……望春街道@#@ 永恒,时间的海洋;@#@@#@ 美丽,人间的希望;@#@@#@ 理性,崇高的力量;@#@@#@ 先进,党旗的辉煌;@#@@#@ 伟大,祖国的骄傲!
@#@@#@ 今晚是一个大喜的夜晚!
@#@@#@ 今晚是一个难忘的夜晚!
@#@@#@ 今晚是一个自傲的夜晚!
@#@@#@ 今晚是一个无眠的夜晚!
@#@@#@ 今晚,我们欢聚一堂,豪情满怀,@#@ 歌赞祖国六十华诞@#@ 歌赞盛世中国吉祥@#@ 回顾昨天,历经风雨,勇于开拓@#@ 喜看今天,与时俱进,兴旺发达,@#@ 展望明天,齐心协力,再创辉煌!
@#@@#@ 请欣赏——@#@ 尾声:
@#@盛世中国@#@ 【大型歌舞·@#@男女声领歌、合唱,大型歌舞。
@#@@#@ 《在灿烂阳光下》@#@ 表演单位:
@#@@#@ @#@ 领唱……白云街道@#@ @#@ 合唱……白云街道@#@ @#@ 舞蹈……区文化馆@#@ 结束语:
@#@@#@ 尊敬的各位领导,各位来宾,@#@ 亲爱的同志们,朋友们:
@#@@#@ 让我们同心同德,与日俱进,@#@ 在党的领导下,开创更加美好的明天!
@#@@#@ “向祖国报告”海曙区庆祝建国60周年大型歌会到此结束,@#@ 祝大家晚安,再见!
@#@@#@ 一、演出时间@#@ XX年9月26日晚上7:
@#@30@#@ 二、演出地点@#@ 宁波市中山广场@#@ 三、参加单位@#@ 区zd委、区直机关党工委,区卫生局,区教育局,白云街道,南门街道,鼓楼街道,江厦街道,月湖街道,西门街道,段塘街道,望春街道@#@ @#@ @#@ @#@ 撰稿:
@#@张大健@#@ @#@ @#@ @#@ XX、9、8@#@ 21:
@#@26@#@ 备注:
@#@1,考虑到60——80人的合唱队员们能从容上场及下场,主持词特地写得长了些,作者可在彩排时或删或增。
@#@2,明白了新浪博客倡导短文,拒发XX以上汉字,但说明的却是拒发XX0汉字啦,倡导是好的,专业却是错的。
@#@3,主持词计空格全文1908汉字。
@#@4,所以我有胆,诚心诚意接受看官的无情的炮轰和有情的修改意见。
@#@@#@ @#@ @#@";i:
12;s:
19426:
"Pep版小学英语六年级上册Unit6单元教案2@#@Unit6Howdoyoufeel?
@#@@#@PartA第一课时@#@Let’stry&@#@Let’stalk@#@教学目标@#@1.能够掌握句子“Theyareafraidofhim.Thecatisangrywiththem.”并能在实际情景中熟练运用。
@#@@#@2.能听、说、认、读单词“chase,mice,bad,hurt”。
@#@@#@3.能够独立完成“Let'@#@stry”部分的练习。
@#@@#@4.能以正确的语音语调表演“Let'@#@stalk”部分。
@#@@#@5.教育学生要有良好的心理状态,善于表达自己的感受。
@#@@#@教学重点@#@掌握四会句子“Theyareafraidofhim.Thecatisangrywiththem.”。
@#@@#@教学难点@#@能在实际情景中熟练运用所学句型。
@#@@#@教学准备@#@人物头饰、教学光盘、课件@#@教学过程@#@Step1:
@#@Warm-up@#@播放本单元的歌曲,“Ifyouarehappy,clapyourhands”可向学生解释歌词的大概意思,可重点解释“happy,angry”的含义,并让学生边听边做出与歌词相应的动作。
@#@@#@Step2:
@#@Presentation@#@1.老师介绍背景:
@#@It'@#@scoldoutside,SamistalkingwithSarah.提出问题让学生思考:
@#@Wherearethey?
@#@Whatwilltheydo?
@#@核对答案,并再次播放听力,让学生能找出问题的关键信息,理解对话大意。
@#@@#@2.由“Let’stry”部分了解到“SamandSarahwillwatchfilms.”让我们一起看看“Whatfilmswilltheysee?
@#@”@#@3.老师展示“Let'@#@stalk”部分挂图,展示Sarah拿出的图片,并提问,让学生讨论:
@#@@#@What’sthefilmabout?
@#@Whatdoesthecatdo?
@#@@#@并引入Let’stalk话题。
@#@@#@T:
@#@Thefilmisaboutacat.Thecatisapoliceofficer.@#@4.出示更多关于“thepoliceofficer—cat”的图片,并展示猫捉老鼠的图片。
@#@@#@Look!
@#@Thecatischasingthemice.(解释““chase,mice”的含义)@#@T:
@#@Arethemiceafraid?
@#@Ss:
@#@Yes,theyare.T:
@#@Theyareafraidofthecat.@#@边做表情,边解释afraid的含义。
@#@并引导学生用beafraidof来造句。
@#@@#@5.教师做出发怒生气的表情,T:
@#@HowdoIfeel?
@#@Ss:
@#@Youareangry.@#@解释“angry”,并出示“猫和老鼠生气”的图片,T:
@#@Thecatisangrywiththem.可替换关键词操练此句型。
@#@@#@6.播放“Let’stalk”部分的录音,让学生边听边理解,画出对话中不理解的信息,并提出问题让学生思考:
@#@Whyisthecatangrywiththemice?
@#@@#@Step3:
@#@Consolidationandextension@#@1.出示58页下面的图片,T:
@#@Howdoyoufeel?
@#@Ss:
@#@I’mafraidofhim./I’mangrywithhim./I’mhappy.。
@#@@#@2.戴上人物头饰,表演“Let’stalk”部分的对话,比一比哪个小组表演得最好。
@#@@#@3.课堂小练习:
@#@@#@
(1)HowBhefeel?
@#@@#@A.doB.doesC.are@#@
(2)TheyareafraidChim.@#@A.atB.inC.of@#@(3)ThecatisangryAthem.@#@A.withB.ofC.at@#@Step4:
@#@Homework@#@将“Let’stalk”部分的对话以正确的语音语调朗读给父母听。
@#@@#@板书设计@#@Unit6Howdoyoufeel?
@#@@#@PartA:
@#@Let’stry&@#@Let’stalk@#@Afraidangrychasemicebadhurt@#@They’reafraidofhim.@#@Thecatisangrywiththem.@#@教学反思@#@本课时以对话为主,旨在提高学生口语交际能力,让学生能在日常对话中灵活运用所学句型表达自己的情感。
@#@教师由“Let’stry”自然导入“Let’stalk,以猫的形象抓住学生的眼球,引入重点句型和难点单词,既吸引了学生的兴趣,又活跃了课堂的气氛,让学生在轻松愉快的环境中学习和操练重点句型。
@#@@#@ @#@@#@PartA第二课时@#@Let’slearn&@#@Writeandsay@#@教学目标@#@1.能听、说、读、写单词:
@#@angry,afraid,sad,worried,happy。
@#@@#@2.能完成“Writeandsay”部分的练习。
@#@@#@3.能够掌握由第一人称变为第三人称时动词的变化,并围绕情绪和感受进行表述。
@#@@#@4.教育学生要保持良好的心理状态,善于表达自己的感受。
@#@@#@教学重点@#@能听、说、读、写单词:
@#@angry,afraid,sad,worried,happy。
@#@@#@教学难点@#@能用英语表达自己或他人的情绪。
@#@@#@教学准备@#@教学光盘、单词卡片@#@教学过程@#@Step1:
@#@Warm-up@#@1.播放歌曲“Ifyouarehappy,clapyourhands”,学生边唱边跟着音乐一起做动作,也可以分组表演,比一比哪个小组表演得更好。
@#@@#@2.出示图片,复习上节课所学的重点句型:
@#@@#@出示猫捉老鼠的图片,并引导说:
@#@Theyareafraidofhim.@#@出示猫对老鼠生气的图片,并引导说:
@#@Thecatisangrywiththem.@#@Step2:
@#@Presentation@#@1.教师出示一张女生大笑的图片,T:
@#@Howdoesshefeel?
@#@Ss:
@#@Sheishappy.板书“happy”,并结合脸部表情让学生记忆。
@#@@#@2.展示一张女生流泪的图片,T:
@#@Whataboutshe?
@#@Howdoesshefeel?
@#@Ss:
@#@Sheissad.板书“sad”,并教读。
@#@可结合happy教读记忆。
@#@@#@3.展示老鼠被猫追赶时的害怕表情,T:
@#@Howdoesthemousefeel?
@#@Ss:
@#@Heisafraidofthecat.板书“afraid”,并教读。
@#@@#@4.教师做生气的表情,引导学生表达:
@#@Youareangry,板书“angry”。
@#@@#@5.教师在黑板上画一个担忧的脸,T:
@#@Howdoeshefeel?
@#@Ss:
@#@Heisworried.板书“worried”,并教读。
@#@@#@6.师生问答,复习所学情感单词:
@#@afraid,angry,sad,happy,worried。
@#@@#@学生开火车依次表达自己的情感,但不能前后重复,如:
@#@@#@T:
@#@Howdoyoufeel?
@#@S1:
@#@I’mafraid.@#@T:
@#@Howdoyoufeel?
@#@S2:
@#@I’mangry…@#@7.引导学生观看“Let’slearn”部分的图片,理解图片所表达的含义,交流讨论。
@#@教师播放“Let’slearn”录音,让学生跟读,并指出由第一人称变为第三人称时be动词的变化,如:
@#@Iamafraid.Thecatisafraid.。
@#@@#@Step3:
@#@Consolidationandextension@#@1.完成“Writeandsay”的部分任务。
@#@@#@引导学生观看“Writeandsay”部分的图片,根据学生的表情,将表示情感的形容词填入横线中,并提醒学生将be动词画出来,强调be动词第一人称到第三人称的变化以及第三人称单数和复数时be动词的区别。
@#@理解之后,教师提问来巩固练习重点句型。
@#@@#@2.利用课件展示不同表情的人物,并让同桌二人问答,巩固单词和句型。
@#@@#@3.小练习课堂:
@#@选出不同类的词。
@#@@#@(C)A.sadB.angryC.mouse@#@(C)A.worriedB.happyC.ill@#@(A)A.theyB.areC.is@#@Step4:
@#@Homework@#@用英语向父母介绍自己今天一天的心情变化。
@#@@#@板书设计@#@Unit6Howdoyoufeel?
@#@@#@PartA:
@#@Let’slearn&@#@Writeandsay@#@Happysadangryafraidworried@#@教学反思@#@本课时主要学习关于心情的单词,以及能灵活运用句型表达各种不同的心情。
@#@结合图片,利用课件,直观理解单词。
@#@在学习单词的同时,教师的表情也是一种较好的辅导工具,有助于学生对单词的记忆。
@#@@#@PartB第一课时@#@Let’stry&@#@Let’stalk@#@教学目标@#@1.掌握四会句子:
@#@What’swrong?
@#@Heshouldseeadoctorthismorning.@#@Yourfatherisill.Don’tbesad.@#@2.能够理解掌握三会单词:
@#@wrong,should,feel,well。
@#@@#@3.能独立完成“Let’stry”部分的练习。
@#@@#@4.培养学生关心他人的良好品质。
@#@@#@教学重点@#@熟练掌握本单元的重点句子:
@#@“Yourfatherisill.What'@#@swrong?
@#@Heshouldseeadoctorthismorning.Don'@#@tbesad.”并能在实际情景中灵活运用。
@#@@#@教学难点@#@能够针对他人的情况提出适当的建议。
@#@@#@教学准备@#@教学光盘、课件、人物头饰@#@教学过程@#@Step1:
@#@Warm-up@#@1.播放歌曲“Ifyou'@#@rehappy,clapyourhands”,分小组比赛歌唱。
@#@@#@2.利用课件展示不同的人物的表情,并让学生根据表情猜测心情,用英语表达,如:
@#@Heissad.Theyarehappy.Sheisafraid…。
@#@@#@Step2:
@#@Presentation@#@1.完成“Let’stry”部分。
@#@老师介绍背景,提出问题:
@#@Whoistalking?
@#@Whataretheytalkingabout?
@#@让学生带着问题去听听力,理解对话,核对答案,并再次播放听力,提取关键信息。
@#@@#@2.由“Let’stry”部分情景导入“Let’stalk”。
@#@@#@T:
@#@Sarah的一家本打算去动物园,但是出现意外。
@#@Let'@#@sfindoutwhathappened?
@#@@#@
(1)展示“PartA”部分“Let’slearn”中Sarah抱小猫时难过神情的图片,提问:
@#@@#@T:
@#@Howdoesshefeel?
@#@@#@Ss:
@#@Sheissad.@#@T:
@#@What’swrong?
@#@@#@Ss:
@#@Thecatisill.@#@T:
@#@Thecatisill.Sheshouldseeavet.Whenyouareill,youshouldseeadoctor.@#@
(2)教师列举多个例子与对话让学生理解should后面加动词原型,如:
@#@@#@Ishould…He/She/Youshould…@#@(3)教师设置情景,如:
@#@@#@T:
@#@Tomorrowwewillholdatest,pleasebeprepared.@#@Ss:
@#@Oh,no.@#@T:
@#@Don’tbesad.@#@(4)播放“Let’stalk”录音,并提出问题:
@#@What’swrong?
@#@HowdoesSamfeel?
@#@WhatshouldSam’sfatherdo?
@#@边听边理解对话意思,核对答案并再次听录音。
@#@@#@Step3:
@#@Consolidationandextension@#@1.跟读“Let’stalk”部分的录音,学习正确的语音语调,戴上人物头饰表演对话。
@#@@#@2.完成“Actwithyourfriends”部分的任务。
@#@教师可请一位学生配合示范,如:
@#@@#@S:
@#@I’mangry.T:
@#@Don’tbeangry.Youshouldtakeadeepbreath.@#@引导学生边做深呼吸,边说“takeadeepbreath”,教读,板书。
@#@根据图片,模仿示例,尽量用英文提出相应的建议,教师可做适当引导,找每组代表统计建议,并做出评价,综合建议。
@#@@#@Step4:
@#@Homework@#@将“Let’stalk”部分的内容读给家长听。
@#@@#@板书设计@#@Unit6Howdoyoufeel?
@#@@#@PartB:
@#@Let’stry&@#@Let’stalk@#@seeadoctortakeadeepbreath@#@What’swrong?
@#@Yourfatherisill.@#@Heshouldseeadoctorthismorning.@#@Don’tbesad.@#@教学反思@#@本课时通过承接上一课时的情景引入重点句型,并通过日常生活情景操练重点句型,有助于对重点句型和单词的理解和记忆。
@#@在理解重点句型的基础上听音频理解对话,调动眼耳来加强对英语的感悟。
@#@要求学生能针对他人情绪给出相应的建议,既有利于锻炼口语,又有利于学生培养良好的品质。
@#@@#@PartB第二课时@#@Let’slearn&@#@Playcardgames@#@教学目标@#@1.能听、说、读、写单词:
@#@wear,more,deep,breath,count。
@#@@#@2.能听、说、读、写短语:
@#@seeadoctor,domoreexercise,wearwarmclothes,takeadeepbreath,counttoten。
@#@@#@3.能根据实际情况运用句型“Youshould…”给出相应的建议。
@#@@#@4.能完成“Playcardgames”部分的任务。
@#@@#@5.教育学生要学会照顾自己,关心他人。
@#@@#@教学重点@#@掌握四会单词及短语:
@#@wear,more,deep,breath,count,seeadoctor,domoreexercise,wearwarmclothes,takeadeepbreath,counttoten。
@#@@#@教学难点@#@针对不同的实际情况运用“…should…”给出相应的建议。
@#@@#@教学准备@#@相关图片、课件、教学光盘@#@教学过程@#@Step1:
@#@Warm-up@#@1.找几组代表表演“Let’stalk”的对话。
@#@@#@2.老师出示不同的人物表情图,学生二人一组创设情景,运用“Let’stalk”所学重点句型设计对话。
@#@@#@Step2:
@#@Presentation@#@1.教师出示“Let’slearn”中的第一幅挂图,T:
@#@HowdoesSarahfeel?
@#@Ss:
@#@Sheisill.T:
@#@Sheshouldseeadoctor.@#@板书“seeadoctor”并教读。
@#@@#@2.出示“Let’slearn”中的第二幅挂图,T:
@#@HowdoesWuYifanfeel?
@#@@#@Ss:
@#@Heisworried.T:
@#@Heshoulddomoreexercise.@#@板书“domoreexercise”配上肢体语言解释教读。
@#@@#@3.出示一张雪景图。
@#@T:
@#@Howdoyoufeel?
@#@Ss:
@#@I’mcold.教师边做穿衣动作,边说:
@#@Youshouldwearwarmclothes.板书“wearwarmclothes”并教读。
@#@@#@4.老师做生气状,并问学生:
@#@HowdoIfeel?
@#@Ss:
@#@Youareangry.T:
@#@Ishouldtakeadeepbreathandcounttoten.板书短语并教读“takeadeepbreath,counttoten”。
@#@@#@5.播放“Let’slearn”部分的录音,学生跟读,学习正确的语音语调。
@#@@#@Step3:
@#@Consolidationandextension@#@1.利用课件出示不同的表情图,如:
@#@ill,worried,angry,cold,afraid,sad。
@#@要求学生二人根据所给表情图运用句型:
@#@“I’m…,Youshould…”来进行对话,如:
@#@@#@S1:
@#@I’mill.S2:
@#@Youshouldseeadoctor.@#@2.完成“Playcardgames”部分的练习。
@#@@#@教师将表达各感情的单词卡片放在桌上,找一名学生上台配合教师的示范表演,如:
@#@@#@教师抽到了一张cold卡片,T:
@#@WhatshouldIdo?
@#@S:
@#@Youshouldwearwarmclothes.@#@学生二人一组,模仿师生对话,操练句型和提建议。
@#@@#@3.课堂小练习:
@#@你能给出建议吗?
@#@@#@
(1)I’mcold.Youshouldwearwarmclothes.@#@
(2)I’mill.Youshouldseeadoctor.@#@(3)I’mworried.Youshoulddomoreexercise.@#@(4)I’mangry.Youshouldtakeadeepbreathandcounttoten.@#@Step4:
@#@Homework@#@请根据父母朋友的心情给出相应的英文建议。
@#@@#@板书设计@#@Unit6Howdoyoufeel?
@#@@#@PartB:
@#@Let’slearn&@#@Playcardgames@#@Youshouldseeadoctor.@#@Youshoulddomoreexercise.@#@Youshouldwearwarmclothes.@#@Youshouldtakeadeepbreathandcounttoten.@#@教学反思@#@本课时主要学习几个短语,要求学生能在日常生活中根据别人的实际情况给出相应的建议。
@#@学习短语时,教师通过图片呈现脸部表情、动作肢体语言来表现动词短语,有利于学生的理解和吸收。
@#@在学习结束之后,更是通过表情图来练习提建议,让学生能在轻松的学习氛围中巩固和记忆知识。
@#@@#@ @#@@#@PartB第三课时@#@Readandwrite@#@教学目标@#@1.能读懂并理解短文。
@#@@#@2.能根据短文完成相应的练习。
@#@@#@3.能掌握短语在发音上的略读技巧。
@#@@#@4.提高短文阅读能力,教育学生要互帮互助。
@#@@#@教学重点@#@能够理解、认读“Readandwrite”部分的句子。
@#@@#@教学难点@#@能够领会“Readandwrite”部分的故事告诉我们的哲理。
@#@@#@教学准备@#@单词卡片、课件、教学光盘@#@教学过程@#@Step1:
@#@Warm-up@#@1.播放歌曲“Ifyouarehappy,clapyourhands”,学生跟着做动作,进入英语课堂学习的氛围。
@#@@#@2.利用课件展示不同的人物表情图,二人一组根据表情对话,如:
@#@@#@S1:
@#@I’msad.S2:
@#@Don’tbesad.Youshouldcheerup.@#@Step2:
@#@Presentation@#@1.完成“Drawfacesforeachword”,根据所给单词,完成画画任务。
@#@@#@2.教师首先展示图片,让学生分组讨论可能发生的故事。
@#@@#@3.通过课件展示短文,教师可以备注生词解释,引导学生边阅读边画出不理解的地方,并在小组内交流讨论。
@#@@#@4.教师可在学生理解大意的基础上在每组找一个代表复述故事,比一比哪个小组讲得最详细最流畅。
@#@@#@5.学生独立自主完成练习题,理解故事的发展情节及所要展示的主题。
@#@@#@6.鼓励口语较好的学生大胆地用英语复述故事,不论讲得如何,教师要予以表扬,切勿打击学生的积极性。
@#@@#@7.升华主题,结合实际日常生活的小故事,教育学生要团结友爱,互帮互助。
@#@@#@Step3:
@#@Consolidationandextension@#@1.完成“Tipsforpronunciation”部分的任务。
@#@@#@教师先找学生朗读短语,紧接着播放光盘,体会录音与学生所读的不同之处,找学生点评,并讲解短语在发音上的略读要求;@#@再次播放录音,学生跟读,注意略读技巧的模仿。
@#@再请几位学生上台朗读,其它学生点评;@#@然后分组,组内成员依次读,其它组员纠正。
@#@@#@2.教师出示一篇课外阅读让学生朗读。
@#@@#@3.课堂小练习:
@#@@#@
(1)IwanttositCthegrass.@#@A.inB.atC.on@#@
(2)HeisstuckAthemud.@#@A.inB.atC.on@#@(3)EveryoneBhappy.@#@A.areB.isC.am@#@Step4:
@#@Homework@#@将这个小故事讲给父母听。
@#@@#@板书设计@#@教学反思@#@本课时以一篇小故事为题材展开教学活动,通过简短的对话对学生进行思想教育,不仅有利于学生阅读短文能力的提高,同时帮助学生在学习的同时理解到友好待人,团结友爱的美德。
@#@教师在教学的开始以讨论的形式展开,极大地调动了学生的积极性;@#@小组合作学习让学生积极参与,积极动脑,理解故事;@#@小组竞赛复述故事,加深对故事的理解;@#@指引重难点,老师对主题的升华使得这个故事得到完美的呈现。
@#@@#@ @#@@#@PartB第四课时@#@Let’scheck&@#@Let’swrapitup@#@教学目标@#@1.能听懂“Let’scheck”部分听力,完成“Let’scheck”的练习。
@#@@#@2.能完成“Let’swrapitup”的任务,表达不同人的不同心情。
@#@@#@3.培养学生综合运用语言的能力。
@#@@#@4.巩固复习本单元所学重点短语和句型。
@#@@#@教学重点@#@完成本课时的练习。
@#@@#@教学难点@#@能根据本单元所学的知识表达心情。
@#@@#@教学准备@#@教学光盘、人物表情卡片@#@教学过程@#@Step1:
@#@Warm-up@#@1.全班学生分成两大组歌唱本单元歌曲“Ifyouarehappy,clapyourhands”比一比哪个组唱得最好。
@#@@#@2.出示不同的人物表情卡片,师生对话。
@#@@#@T:
@#@What’swrong?
@#@S1:
@#@I’mworried.@#@T:
@#@Don’tbeworried.Youshoulddomoreexercise.@#@Step2:
@#@Presentation@#@1.完成“Let’scheck”部分的任务。
@#@@#@
(1)教师首先引导学生观察“Listenandmatch”中的人物,并理解所要选择对象的大概意思。
@#@@#@
(2)播放听力,让学生根据所听的内容,独立完成连线练习。
@#@@#@(3)引导学生看问题,并再次播放听力,学生完成选择练习。
@#@@#@(4)教师核对答案;@#@再次播放录音,教师对关键词、短语、句子要多播放几遍,帮助学生理解。
@#@@#@2.完成“Let’swrapitup”部分的任务。
@#@@#@
(1)先找学生代表说出“Let’swrapitup”中表示人的感觉和感情的词并解释汉语意思。
@#@@#@
(2)让学生自由发言,补充更多有关类似的单词,比一比哪个小组说得最多。
@#@@#@(3)小组为单位,描述现在的感受,如:
@#@“Ifeel…”。
@#@@#@(4)小组为单位,描述他人现在的感受。
@#@@#@(5)将这些表示心情的词进行分类,然后按照分类的顺序带领学生复习。
@#@@#@Step3:
@#@Consolidationandextension@#@1.找本课时的练习册,练习听力。
@#@@#@2.课堂小练习:
@#@将下列词语分类。
@#@@#@Shirtangryrainingcloudyreddress@#@Greenworriedsnowysadjacketyellow@#@天气raining,cloudy,snowy@#@服装shirt,dress,jacket@#@感觉angry,worried,sad@#@颜色red,green,yellow@#@Step4:
@#@Homework@#@总结";i:
13;s:
29493:
"教育科学五年级科学上册教案全册@#@第一单元生物与环境@#@1、种子发芽实验
(一)@#@教学目标:
@#@@#@科学概念:
@#@种子发芽需要一定的条件。
@#@@#@过程与方法:
@#@经历设计种子发芽实验的过程,用对比实验的方法观察、记录影响种子发芽的条件。
@#@@#@情感、态度、价值观:
@#@养成对实验观察的兴趣@#@教学重点:
@#@学习运用对比实验中控制某个条件的方法,研究影响种子发芽的条件。
@#@@#@教学难点:
@#@能根据要求设计出自己的实验计划。
@#@@#@教学准备:
@#@绿豆种子若干,实验计划单(参考书3面),实验记录表(参考书4面)。
@#@@#@教学过程:
@#@@#@一、讨论种子发芽的条件:
@#@@#@1、谈话导入:
@#@植物的一生是从种子发芽开始的。
@#@那么,你觉得种子发芽需要哪些条件呢?
@#@@#@2、学生自由讨论,教师摘要板书。
@#@(预设:
@#@要种到土里获得养料,需要浇水,需要合适的温度,需要阳光,需要空气等。
@#@这时候教师不要给予取舍,保留学生的各种观点)@#@3、进一步引导(拿起一颗绿豆种子):
@#@这是一颗完好的绿豆种子,如果要让它发芽,刚才大家说的这些条件是不是都需要呢?
@#@哪些是绿豆种子发芽的必须条件呢?
@#@(说明:
@#@从种子发芽过渡到具体的绿豆种子发芽,这样的讨论更有针对性,而且更合理,因为不同种子需要的条件是有些区别的。
@#@)@#@4、师:
@#@大家的意见不一样,看来我们必须通过实验来判别了。
@#@@#@二、设计种子发芽实验:
@#@@#@1、师:
@#@该怎样用实验来证明呢?
@#@大家说说要注意什么?
@#@(预设:
@#@制订周密的实验计划,只能改变一个条件等。
@#@)@#@2、师点拨:
@#@为了把实验做好,建议大家选择自己最想研究的一个条件进行研究,而且在研究之前要设计好实验方案。
@#@@#@3、学生自由选择研究内容,及时进行统计。
@#@(预设:
@#@水组,光组,温度组,土壤组等,可在此适当排除不适合课堂研究的内容。
@#@)@#@4、以其中一个组为范例,集体讨论如何设计实验计划。
@#@@#@
(1)你们想研究什么问题,你们计划怎样做?
@#@@#@
(2)你们预测结果会是怎样的?
@#@@#@(3)你们的研究中,改变了什么条件?
@#@@#@(4)哪些条件是没有改变的?
@#@@#@(5)怎样知道改变的条件是不是对种子发芽产生了影响?
@#@@#@(6)在实验研究过程中还应该注意什么?
@#@@#@5、分发实验计划单,学生完成自己的实验方案设计,教师巡回指导,鼓励选择相同条件的同学互相交流。
@#@@#@三、阅读书4面种子发芽实验内容:
@#@@#@1、进一步阅读教材上的举例,教师引导关注其中的注意点,比如绿豆的大小应该差不多,为什么要在每个盒子分别放入2-3颗绿豆,为什么要垫纸巾等。
@#@@#@2、教师提供表格,共同讨论如何进行记录。
@#@@#@四、布置实践作业和下节课内容提示:
@#@@#@1、鼓励学生回家认真完成实验,并做好观察记录。
@#@@#@2、下节课将对我们的实验结果进行分析,请大家准备好实验计划单和实验记录表,将对认真完成实验的同学加星评价。
@#@@#@3、有能力、有条件的同学还拍摄绿豆种子发芽各个变化的照片,尝试撰写研究报告。
@#@@#@2、种子发芽实验
(二)@#@教学目标:
@#@@#@科学概念:
@#@绿豆种子发芽需要水和适宜的温度。
@#@@#@过程与方法:
@#@学习整理收集到的数据,依据数据得出科学的结论。
@#@@#@情感、态度、价值观:
@#@认识到对比实验、严格控制实验、重复实验的重要性。
@#@@#@教学重点:
@#@通过实验知道绿豆种子发芽需要水和适宜的温度。
@#@@#@教学难点:
@#@学习整理收集到的数据,依据数据得出科学的结论。
@#@@#@教学准备:
@#@实验计划单和实验记录表,实验信息统计表(参考书5面)@#@教学过程:
@#@@#@一、交流实验中的发现:
@#@@#@1、我们种下的绿豆种子都发芽了吗?
@#@其他小组的绿豆种子呢?
@#@@#@二、整理分析实验信息:
@#@@#@1、教师分发实验信息统计表,由组长负责收集相同实验小组的实验信息。
@#@@#@2、分析实验信息:
@#@@#@
(1)实验组和对照组的数据有什么不同?
@#@@#@
(2)从实验数据中我们可以得出结论吗?
@#@@#@(3)实验结论和我们实验前的猜测一致吗?
@#@@#@三、交流实验信息:
@#@@#@1、认真听取其他不同实验小组介绍他们的实验方法和获取的信息。
@#@@#@2、和其他的小组交流,了解他们在实验中有什么发现,听听他们怎样用数据来解释实验结果的。
@#@@#@3、汇集全班同学的实验,分析绿豆种子发芽需要的条件。
@#@对大家有异议的内容进行辨析。
@#@@#@4、小结:
@#@绿豆种子发芽的必需条件是温度、水分和空气。
@#@@#@四、种植绿豆芽:
@#@@#@1、引导:
@#@已经发芽的绿豆芽怎么处理?
@#@@#@2、确定任务:
@#@把绿豆芽种植在花盆中,放到适合的地方,让绿豆芽生长一段时间。
@#@@#@3、预测哪些条件会影响绿豆芽的生长。
@#@@#@4、建议对绿豆芽的生长做观察日记或者记录。
@#@@#@参考资料:
@#@种子发芽的基本条件是:
@#@@#@一是温度。
@#@种子萌发的最低温度为6-7℃,12-14℃能正常发芽,最适温度为20-25℃,最高为35℃。
@#@@#@二是水分。
@#@一般需吸收相当于种子自身风干重的120-140%的水分才能萌发。
@#@@#@三是充足的氧气。
@#@@#@3、观察绿豆芽的生长@#@教学目标:
@#@@#@科学概念:
@#@植物的生长需要合适的环境条件。
@#@当环境条件改变的时候,植物具有一定的适应环境的能力。
@#@@#@过程与方法:
@#@设计绿豆芽生长需要阳光的实验,用对比实验的方法观察,记录影响植物生长的条件。
@#@@#@情感、态度、价值观:
@#@意思到生物的形态结构、生活习性同它们的生活环境是相适应的。
@#@@#@教学重点:
@#@设计对比实验。
@#@@#@教学难点:
@#@在设计对比实验中严格控制变量,并注意收集实验数据用事实说话。
@#@@#@教学准备:
@#@实验计划单和实验记录表(参考书7面),三四天前做好的绿豆芽对水的需要的实验。
@#@@#@教学过程:
@#@@#@一、交流实验中的发现:
@#@@#@1、说说自己种下的绿豆芽生长的怎样了。
@#@@#@2、为什么大家的绿豆芽生长的不一样呢,怎样才能让绿豆芽生长的更好呢?
@#@@#@3、讨论影响绿豆芽生长的因素,教师随机板书。
@#@@#@二、实验一:
@#@绿豆芽生长需要阳光吗?
@#@@#@1、讨论:
@#@绿豆种子发芽可以不需要阳光,那么绿豆芽的生长需要阳光吗?
@#@@#@2、该怎样来设计对比实验呢?
@#@@#@重点指导:
@#@@#@
(1)我们只能改变哪些条件?
@#@不改变哪些条件?
@#@@#@
(2)两组的绿豆芽需要一样多吗?
@#@@#@(3)改变了条件是不是对绿豆芽生长产生了影响,我们怎样才能知道?
@#@@#@3、学生完成实验方案,交流。
@#@@#@4、提供实验记录表,引导学生做好观察记录。
@#@(采用图画和文字记录下绿豆芽的高度、茎叶的颜色,茎的粗细等)@#@三、实验二:
@#@绿豆芽生长对水的需求@#@1、拿出事前做的绿豆芽对水需求的实验,让学生进行观察。
@#@教师介绍实验:
@#@在一个盘子里铺上几层吸水纸,把5粒刚发芽的绿豆并排放在吸水终上,保持吸水纸一端湿润。
@#@@#@2、讨论:
@#@这5粒绿豆种子的生存的环境有什么不同?
@#@不同的环境对绿豆种子会产生怎样的影响?
@#@为什么我们这样推测?
@#@仔细观察还有什么发现?
@#@@#@3、概括:
@#@植物的生长需要一定的环境,当环境改变后它们会努力的适应环境的变化。
@#@@#@四、观察更多的植物适应环境的图片(书8面):
@#@@#@1、比较香蕉、松树、仙人掌的叶的不同。
@#@@#@2、香蕉、松树、仙人掌分别生长在什么地方?
@#@@#@3、这三种植物的叶同它们的生活环境有什么关系?
@#@@#@4、说说平时还看见有关植物适应环境的例子。
@#@@#@4、蚯蚓的选择@#@教学目标:
@#@@#@科学概念:
@#@动物生活需要一定的环境条件。
@#@@#@过程与方法:
@#@掌握对比实验的方法。
@#@@#@情感、态度、价值观:
@#@体会自然事物是相互联系的。
@#@@#@教学重点:
@#@设计对比实验。
@#@@#@教学难点:
@#@在设计对比实验中严格控制变量,并注意收集实验数据用事实说话。
@#@@#@教学准备:
@#@每组一份:
@#@长方形扁纸盒两个,黑布、塑料薄膜、玻璃片、蚯蚓15条、干土、湿土。
@#@@#@教学过程:
@#@@#@一、谈话导入:
@#@@#@1、见过蚯蚓吗?
@#@一般在什么地方见到它们?
@#@你们觉得蚯蚓喜欢怎样的环境?
@#@@#@2、学生自由发表。
@#@@#@二、实验一:
@#@蚯蚓喜欢黑暗还是光亮的环境?
@#@@#@1、我们先来研究蚯蚓喜欢黑暗还是光亮的环境,该怎样设计实验呢?
@#@@#@2、学生说说自己的想法,引导他们进行对比实验,并注意控制条件。
@#@@#@3、出示实验器材,讨论实验步骤:
@#@@#@
(1)把长方形盒子一端剪去一部分,盖上玻璃片,再在另一端用黑布包住。
@#@@#@
(2)在盒底放入塑料薄膜,以保护蚯蚓,方便它爬行。
@#@@#@(3)把5条蚯蚓放在盒子的中间,盖好盖子。
@#@@#@(4)5分钟以后打开盒盖,做好观察和记录。
@#@@#@(5)再做2次。
@#@@#@4、分发记录单和相关实验材料,学生实验,教师巡回指导。
@#@@#@5、收回材料,组织交流,概括:
@#@蚯蚓喜欢黑暗的环境。
@#@@#@三、实验二:
@#@蚯蚓喜欢干燥还是湿润的环境?
@#@@#@1、过渡:
@#@那么,蚯蚓喜欢干燥还是湿润的环境呢,让我们也来设计一个对比实验。
@#@@#@2、学生设计实验,自由发表。
@#@@#@3、教师随机出示材料,在交流中概括出比较合理的实验步骤:
@#@@#@
(1)在另外的盒子两端分别铺上同样土质的泥土,不同的是一边干燥,一边湿润。
@#@@#@
(2)把10条蚯蚓放在盒子的中间,盖好盖子。
@#@@#@(3)5分钟以后打开盒盖观察,记录。
@#@@#@(4)再做2次。
@#@@#@4、分发记录单和相关实验材料,学生实验,教师巡回指导。
@#@@#@5、收回材料,组织交流,概括:
@#@蚯蚓喜欢湿润的环境。
@#@@#@四、动物对环境的需要和适应:
@#@@#@1、让学生归纳:
@#@蚯蚓适合怎样的生活环境?
@#@@#@2、过渡:
@#@跟蚯蚓一样,各种动物都喜欢生活在一定的环境里。
@#@@#@3、学生看书11面的图片,说说这些动物是怎样适应环境的?
@#@@#@4、拓展:
@#@当环境不适应动物生存的时候,它们会重新选择合适的环境生活,否则它们将难以生存。
@#@谁能说说相关的例子吗?
@#@@#@5、食物链和食物网@#@教学目标:
@#@@#@科学概念:
@#@蔷薇花丛中动植物之间存在着食物能量交换关系。
@#@@#@过程与方法:
@#@通过分析讨论,发现事物之间的相互联系和相互影响。
@#@@#@情感、态度、价值观:
@#@形成善于听取别人的意见、虚心向别人学习的科学态度。
@#@@#@教学重点:
@#@研究动植物之间的食物关系,认识食物链和食物网。
@#@@#@教学难点:
@#@建立起初步的生态系统的概念,形成“生物与生物之间是相互关联的一个整体”的认识。
@#@@#@教学准备:
@#@校园常见动植物样本或图片。
@#@@#@教学过程:
@#@@#@一、谈话导入:
@#@@#@1、提问:
@#@生物的生存需要些什么?
@#@(水、阳光、空气等)。
@#@@#@2、引导:
@#@生物的生存除了需要一定的自然条件外,它们彼此之间也是相互依赖、互相影响的。
@#@这段时间我们重点研究这方面的知识。
@#@@#@二、谁吃谁:
@#@@#@1、教师出示校园常见动植物样本(也可以借鉴书本的图片),说:
@#@这是在一簇花丛中发现的生物,有蔷薇、小草、树叶、蚜虫、瓢虫、蚯蚓、毛毛虫、小鸟等。
@#@@#@2、问:
@#@为什么在同一个区域会出现这些动物和植物呢?
@#@它们之间有什么关系呢?
@#@@#@3、学生自由说,教师随机用简单的文字和箭头表示这种关系。
@#@(蔷薇或草——蚜虫——瓢虫——小鸟;@#@落叶——蚯蚓——小鸟;@#@蔷薇——毛毛虫——小鸟)@#@4、讲述:
@#@生物之间这种像链环一样的食物关系,叫做食物链。
@#@食物链中能自己制造食物的生物叫生产者,直接或者间接消费别人制造的食物的生物叫消费者。
@#@(让学生划出书中12面相关句子)@#@5、让学生指出上面几条食物链中的生产者和消费者。
@#@并发现大多数食物链都是从植物开始的。
@#@@#@6、学生再根据生活经验说几条食物链。
@#@@#@三、水田的食物网:
@#@@#@1、竹林村的同学在稻田上发现了许多生物,让我们一起来认识一下。
@#@(书13面图,生物有:
@#@水稻、稻螟虫、蝗虫、蜘蛛、螳螂、蜻蜓、小鸟、蛇、老鹰、青蛙、白鹭、鱼、虾、田鼠、黄鼠狼、猫头鹰等。
@#@)@#@2、找一找,这些生物之间存在着怎样的食物关系,用箭头表示出相关的食物链。
@#@@#@3、学生交流补充,不断完善自己的食物链,数一数有多少条。
@#@特别注意一下水稻和蛇,看看它们被吃了几次或者吃多少种食物。
@#@@#@4、概括:
@#@同一种植物会被不同的动物吃掉,同一种动物也可以吃多种食物,生物之间这种复杂的食物关系形成了一个网状结构,叫做食物网。
@#@@#@5、说一说:
@#@万一其中的一种生物灭绝了,会产生怎样的影响?
@#@@#@6、拓展:
@#@观察身边的生态群落,画一个食物网。
@#@@#@6、做一个生态瓶@#@教学目标:
@#@@#@科学概念:
@#@生物在一定区域内相互影响、相互依存,会形成一个生态群落。
@#@@#@过程与方法:
@#@根据设计方案及实际条件制作生态瓶,并坚持对生态瓶进行管理和观察。
@#@@#@情感、态度、价值观:
@#@认识到相互听取意见、共同协作、好象管理和观察的必要性。
@#@@#@教学重点:
@#@能设计一个生态瓶建造方案。
@#@@#@教学难点:
@#@根据设计方案及实际条件制作生态瓶,并坚持对生态瓶进行管理和观察。
@#@@#@教学准备:
@#@大饮料瓶、剪刀、建造生态瓶需要的材料、活动记录本。
@#@@#@教学过程:
@#@@#@一、认识真正的池塘里的生物环境:
@#@@#@1、看书14面的池塘图,讨论池塘中的生物关系:
@#@@#@
(1)池塘有哪些水生生物?
@#@(有水生植物如水藻水草,鱼类,虾,软条类如田螺河蚌,水鸟类如鸭,水生昆虫,青蛙等)@#@
(2)水生植物需要哪些生存条件?
@#@@#@(3)池塘里的植物为动物提供了哪些帮助?
@#@@#@(4)动物为植物生长做了哪些贡献?
@#@@#@(5)我们还可以在池塘里找到哪些非生物?
@#@它们对生物有什么作用?
@#@(非生物主要有空气、水、泥土、岩石等)@#@2、概括:
@#@像池塘里的这些生物和非生物这样,互相作用、互相依存,形成一个密不可分的整体,我们可以把它们看成一个生态群落。
@#@一片树林,一块草地,一个湖泊,一个海洋等都可以看成是一个生态群落。
@#@@#@二、设计生态瓶:
@#@@#@1、说明:
@#@我们现在要模拟池塘群落建造一个生态瓶,研究其中的关系。
@#@@#@2、学生讨论:
@#@该怎样设计这个生态瓶呢?
@#@问题提示:
@#@@#@
(1)你们准备让哪些生物来生活?
@#@@#@
(2)什么样的生物可以在这个有限的空间里生活?
@#@@#@(3)如果要有动物,那它们的食物来源是什么?
@#@@#@(4)除了加生物外,还需要其他一些东西吗?
@#@@#@(5)生物放入的顺序需要考虑吗?
@#@@#@3、为了让这些生物在生态瓶里生活的更好一些,我们还应该考虑些什么?
@#@(生物品种搭配和数量多少问题)@#@4、给每个小组发设计纸,用图文结合法记录下设计方案,注意标出生物和非生物的种类、数量等。
@#@@#@三、制作生态瓶:
@#@@#@1、说明制作步骤:
@#@@#@
(1)先在瓶底装入一层淘洗干净的沙(如要加几块小石子也就在这时候放)。
@#@@#@
(2)装入半瓶自然水域的水。
@#@@#@(3)往瓶里种上自己准备的水草。
@#@@#@(4)再放入小动物。
@#@@#@(5)把本组成员的名字做才标签贴在生态瓶的外面。
@#@@#@2、根据讨论的设计方案,选择材料完成制作生态瓶。
@#@@#@3、小组商量决定生态瓶放置的地方并分工进行管理和观察记录。
@#@(16面的生态瓶观察记录表),提醒注意每天观察生态瓶里发生的变化,并做好记录。
@#@@#@7、改变生态瓶@#@教学目标:
@#@@#@科学概念:
@#@减少水和添加动物、植物会引发生态群落的变化。
@#@@#@过程与方法:
@#@严格控制条件进行实验活动,坚持进行观察记录。
@#@@#@情感、态度、价值观:
@#@认识到控制条件进行实验,细致、准确地记录到的现象的必要性。
@#@@#@教学重点:
@#@严格控制条件进行实验活动,坚持进行观察记录。
@#@@#@教学难点:
@#@在设计对比实验中严格控制变量,并注意收集实验数据用事实说话。
@#@@#@教学准备:
@#@准备若干鱼、水、水草等材料。
@#@@#@教学过程:
@#@@#@一、交流生态瓶,确定实验方案:
@#@@#@1、交流:
@#@生态瓶里的生物生活得还好吗?
@#@@#@2、展示,比一比哪些生态瓶里的动植物生活的比较好。
@#@@#@3、思考:
@#@如果改变生态瓶中的一些条件会怎样呢?
@#@@#@4、引导:
@#@减少生态瓶里的水是改变生态瓶的非生物环境,增加生态瓶里的生物是改变生物的数量。
@#@@#@5、学生小组自由选择其中的一种方案。
@#@@#@二、实验一:
@#@减少生态瓶里的水:
@#@(参17面记录单)@#@1、实验方法:
@#@选择2个生态瓶,尽量一样的,一个生态瓶的水减少一半,另一个水量不变,两个生态瓶的生物数量都不变。
@#@@#@2、引导:
@#@要观察生物有什么变化,我们可以采用记录小鱼在改变前面一分钟浮出水面上来的次数。
@#@@#@3、进行实验和观察记录。
@#@实验结果:
@#@由于水量减少,动植物的生存空间减少,氧气量减少,水少的小鱼浮出水面的次数比较多。
@#@@#@三、实验二:
@#@增加生态瓶里的生物:
@#@(参18面记录单)@#@1、实验方法:
@#@选择2个生态瓶,尽量一样的,一个生态瓶的水草增加一倍,另一个的小鱼数量增加一倍,其他条件不变。
@#@@#@2、预测生态瓶里会发生什么变化。
@#@@#@3、同样采用记录小鱼在改变前面一分钟浮出水面上来的次数。
@#@@#@3、进行实验和观察记录。
@#@实验结果:
@#@水草增加,产生的氧气量就增加,鱼浮出水面的次数会减少;@#@小鱼增加,耗氧量增大,小鱼浮到水面的次数会增多。
@#@@#@4、讨论:
@#@如果小鱼增加2倍或者是4倍又会怎样呢?
@#@(小鱼浮出水面次数更多,甚至会缺氧死亡)。
@#@是不是植物越多越好呢?
@#@(植物过多,影响植物对光的吸收,还会减少动物的活动空间,也会产生不良影响)。
@#@@#@四、总结拓展:
@#@@#@1、讨论:
@#@要让生态瓶里的生物生存的更好,我们要注意什么?
@#@@#@2、回家继续观察生态瓶,尝试写科学观察报告。
@#@@#@8、维护生态平衡@#@教学目标:
@#@@#@科学概念:
@#@自然界里某一区域生存的生物必须形成一个平衡和谐的整体,即生态系统。
@#@@#@过程与方法:
@#@应用分析推理等思维形式,对沙尘暴生成的原因进行分析。
@#@@#@情感、态度、价值观:
@#@认识到维护生态平衡的重要性。
@#@@#@教学重点:
@#@认识到维护生态平衡的重要性。
@#@@#@教学难点:
@#@应用分析推理等思维形式,对沙尘暴生成的原因进行分析。
@#@@#@教学准备:
@#@收集有关沙尘暴的资料@#@教学过程:
@#@@#@一、回顾知识,引入新课:
@#@@#@1、学生自己的话举例说明食物链、食物网、生态群落的含义。
@#@@#@2、说明:
@#@自然界里某一区域的生物形成一个平衡和谐的整体,叫做生态系统。
@#@@#@3、提问:
@#@如果生态系统中的某一个环节受到了破坏,整个生态系统会怎样呢?
@#@@#@二、草原的生态平衡:
@#@@#@1、现在让我们以草原为例来研究有关生态系统的平衡问题。
@#@草原上常见的生物有鹰、兔和草,那么,这三种生物之间的食物链是怎样呢?
@#@(学生画出)@#@2、设想一下:
@#@@#@
(1)如果鹰少了,会发生什么现象?
@#@@#@
(2)如果兔少了,会发生什么现象?
@#@@#@(3)如果草少了,会发生什么现象?
@#@@#@每个设想给学生充分的思考时间,然后再发表意见。
@#@@#@3、人类的哪些行为会造成鹰、兔或者草减少呢?
@#@在书19面表示出来。
@#@(可启发;@#@为了经济发展,人们会采取哪些手段。
@#@)@#@三、是什么引起了沙尘暴:
@#@@#@1、阅读书20面有关沙尘暴的资料。
@#@教师可进行适当的补充。
@#@@#@2、引导学生分析草原生态系统失衡的原因,提示:
@#@@#@
(1)人类的哪些行为引起草原生态系统失衡?
@#@@#@
(2)这些行为的后果是什么?
@#@@#@(3)最终结果是什么?
@#@@#@3、小结:
@#@生态系统配合发展是不十分不易的,人类的许多行为都在破坏着大自然的生态平衡。
@#@@#@4、拓展:
@#@大家深入分析一下目前许多的做法,并讨论为了保护生态平衡我们可以做些什么。
@#@@#@教学后记@#@ @#@@#@第二单元光@#@1、光和影@#@教学目标:
@#@@#@科学概念@#@1、学生要知道产生影子的条件:
@#@光源、遮挡物和屏,且遮挡物要在光源和屏之间。
@#@@#@2、明白判断光源的标准:
@#@正在发光而且是自己发出的光@#@3、物体影子的长短、方向随着光源位置、方向的改变而改变;@#@物体影子的大小与物体和光源之间的距离有关;@#@物体影子的形状和光源所照射的物体侧面的形状有关@#@4、从不同侧面照射得到的物体的影子叫做投影,投影在我们生活中很有用处@#@过程与方法@#@1、做光和影的实验,并将观察结果准确地进行记录@#@2、根据实验结果分析推理出光源、遮挡物、影之间的关系@#@情感、态度、价值观@#@1、认识到事物之间的变化是有联系的,也是有规律的。
@#@@#@2、在实验观察中养成严谨、细致、实事求是的态度@#@教学准备:
@#@@#@教师演示:
@#@有窗帘的教室@#@分组实验:
@#@手电筒、4开的白卡纸、长方形的小木块、铅笔、尺子@#@教学重、难点:
@#@@#@探究影子的特点@#@课时安排:
@#@@#@1课时@#@教学过程:
@#@@#@1、引入@#@引导语:
@#@对于光,我们都很熟悉,那么对于光,我们都已经知道些什么@#@还想知道些什么?
@#@我们这个单元的学习是要探索光的秘密。
@#@@#@2、关于影子产生的条件@#@1)谁玩过影子的游戏?
@#@我们怎样才能看到自己的影子?
@#@@#@2)想一想:
@#@影子是怎么产生的,亲自做一做,看看需要有什么条件?
@#@@#@3)在实际操作、讨论、交流的基础上,学生知道影子的产生不仅需要阳光、挡光物体,还需要屏(墙面、地面等)@#@3、关于“光源”的教学@#@1)影子的产生需要光,那么,哪些物体能发光呢?
@#@学生列举@#@2)引导学生进行分类,自己发光和反射其他物体的光@#@3)得出光源的描述:
@#@像电灯这样可以自己发光的物体叫做光源@#@4、影子的特点@#@1)在刚才的影子游戏中,我们除了知道影子产生的条件外,还有什么发吗?
@#@@#@2)我们再通过一些活动继续探究光源和影子的秘密,现自学科学书第25页,看看是否明白实验的方法、步骤、要求。
@#@@#@3)教师着重提示、演示第一个实验@#@4)分组实验,先在屏上画下影子,最后再画到课本上相应的地方@#@5)分组汇报,交流实验发现@#@影子会随着光源位置的改变而改变;@#@@#@影子的大小与遮挡物和光源之间距离的关系……@#@影子的形状和光源照射物体侧面的关系……@#@5、回顾和总结@#@1)引导学生回顾:
@#@我们今天学了光的哪些知识,发现了什么规律?
@#@@#@2)再做一做影子游戏,验证一下我们发现的这些规律。
@#@@#@六、板书设计@#@光和影@#@影子产生的条件:
@#@不仅需要阳光、挡光物体,还需要屏(墙面、地面等)@#@光源:
@#@正在发光,而且是自己发出的光@#@影子的特点:
@#@@#@影子会随着光源位置的改变而改变;@#@@#@影子的大小与遮挡物和光源之间距离的关系……@#@影子的形状和光源照射物体侧面的关系……@#@2、阳光下的影子@#@教学目标:
@#@@#@科学概念@#@1、阳光下物体影子的方向随着太阳方向的改变而改变,影子总是和太阳的方向相反@#@2、阳光下物体影子长短的变化是随着太阳在天空中的位置变化而变化的,太阳位置最高时影子最短,太阳位置最低时,影子最长@#@3、人们很早就知道利用阳光下物体影子的变化规律来测定时间@#@过程与方法@#@1、推测阳光下物体影子怎样变化@#@2、利用简易的日影观测仪,观测阳光下物体的影子的长短和方向的变化,并收集相关的数据。
@#@@#@3、一天中影子长变化的数据作出影长随时间变化的柱状图@#@4、根据观察数据,发现阳光下物体影子在一天中的变化规律@#@5、运用阳光下物体影子变化的规律,解释人们为什么能用影子计时。
@#@@#@情感、态度、价值观@#@1、认识到自然事物是在不断变化的,事物的变化之间是有联系和有规律的@#@2、能够按活动要求坚持观察如实记录数据@#@3、能够根据数据和现象实事求是地进行分析和推理@#@教学准备:
@#@@#@教师演示:
@#@日晷的图片或课件@#@分组实验:
@#@简易的日影观测仪、指南针@#@教学重、难点:
@#@@#@探究影子的特点@#@课时安排:
@#@@#@1课时@#@教学过程:
@#@@#@1、复习引入@#@1)上节课我们学到了哪些知识?
@#@@#@2)阳光下物体的影子也有变化吗?
@#@是怎样变化的?
@#@@#@3)看书上第26页,根据我们上节课的研究,推测一下大树的影子在一天中发生的变化情况,并让学生说说理由。
@#@@#@2、观察阳光下影子的变化@#@1)让我们通过实际的观测来验证我们的想法@#@2)学生认真阅读科学书上的说明,明白观察的目的和观察记录的方法@#@3)以小组为单位进行,但每个人都要记录小组的观测结果@#@3、整理我们的记录@#@1)各小组汇报自己的观测数据@#@2)教师讲解如何把影长随时间变化的数据整理成柱状图:
@#@纵轴表示影长,横轴表示时间@#@3)每个学生自己将数据整理成柱状图@#@4)分析柱状图:
@#@一天中阳光下的影子是怎样变化的?
@#@什么时候最长?
@#@什么时候最短?
@#@我们还有什么发现?
@#@@#@5)关于祖国西部地区,影子最短时不是12时的说明@#@4、日影观测仪@#@1)古人曾经利用日影观测仪计时,能说说其中的道理吗?
@#@@#@六、板书设计@#@阳光下的影子@#@铅笔影子的变化@#@观察时间@#@太阳位置和方向@#@影长@#@3、光是怎样传播的@#@教学目标:
@#@@#@科学概念@#@1、光是直线传播的@#@过程与方法@#@1、有依据地推测光的传播路径@#@2、设计验证光是直线传播的实验@#@3、通过实验中的现象分析推理得出光是直线传播的@#@4、利用光的传播规律解释为什么会有影子@#@情感、态度、价值观@#@1、培养学生爱思考的习惯,以及善于思考的能力@#@2、知道推测要有依据@#@3、在实验中能认真观察、勤于思考,根据实验结果实事求是地进行分析、推理@#@教学准备:
@#@@#@教师演示:
@#@有关光是直线传播地图片和课件@#@分组实验:
@#@手电筒、3张在同一位置打孔的长方形卡纸、屏、空心弯管和直管@#@教学重、难点:
@#@@#@会用多种方法验证光的传播路径@#@课时安排:
@#@@#@1课时@#@教学过程:
@#@@#@1、引入@#@1)清晨,太阳出来,房间撒满了阳光;@#@黑夜,打开手电筒,灯光照亮了前面的路。
@#@当光照亮周围的物体时,我们是否想过它从哪里来,又是怎样照到物体上的@#@2、光是怎样照到物体上的@#@1)让学生推测光的传播路径,重在说出推测的依据@#@书上已有:
@#@舞台上的灯光,从云层中透过的阳光@#@但应该";i:
14;s:
16452:
"最新高考英语一轮复习精讲精练学案选修6Unit4Globalwarming@#@Unit4Globalwarming@#@Ⅰ.重要单词聚焦@#@1.vt. 消费;@#@消耗;@#@耗尽;@#@吃完@#@2.adj.胡乱的;@#@任意的@#@3.n.现象@#@4.n.燃料@#@5.n.量;@#@数量@#@6.vi.趋向;@#@易于;@#@照顾@#@ vt.照顾;@#@护理@#@consume@#@random@#@phenomenon@#@fuel@#@quantity@#@tend@#@7.n.资料;@#@数据@#@8.n.趋势;@#@倾向;@#@走向@#@9.n.大灾难;@#@浩劫@#@10.vt.反对;@#@反抗;@#@与(某人)较量@#@11.adj.反对的;@#@独立的@#@12.adj.温和的;@#@温柔的;@#@淡的@#@13.n.结果;@#@后果;@#@影响@#@14.vt.陈述;@#@说明@#@15.n.种类;@#@范围@#@16.vi.看一下;@#@扫视@#@ n.一瞥@#@data@#@trend@#@catastrophe@#@oppose@#@opposed@#@mild@#@consequence@#@state@#@range@#@glance@#@17.adj.平稳的;@#@持续的;@#@稳固的@#@18.adj.平均的@#@19.n.生存;@#@存在@#@20.vt.拥护;@#@提倡;@#@主张@#@21.adj.随便的;@#@漫不经心的;@#@偶然的@#@22.n.环境;@#@情况@#@23.n.贡献@#@24.n.分歧;@#@不一致@#@steady@#@average@#@existence@#@advocate@#@casual@#@circumstance@#@contribution@#@disagreement@#@Ⅱ.重点短语扫描@#@1.come发生;@#@造成@#@2.subscribe同意,赞成,订阅@#@3.go上升;@#@增长;@#@升起@#@4.beto反对……@#@5.keep继续@#@6.thewhole大体上;@#@基本上@#@7.on平均来看@#@ average低于/高于平均水平@#@8.putwith忍受;@#@容忍@#@about@#@to@#@up@#@opposed@#@on@#@on@#@average@#@below/above@#@up@#@9.andso等等@#@10.of大量的@#@11.result导致@#@12.even甚至@#@13.onof代表……一方;@#@作为……的代言人@#@14.soas只要@#@on@#@quantities@#@in@#@if@#@behalf@#@long@#@Ⅲ.课文原句突破@#@1.Thereisnodoubtthattheearthisbecomingwarmerandthatitishumanactivitythathascausedthisglobalwarmingratherthanarandombutnaturalphenomenon.@#@[信息提取] there’snodoubtthat...意为“……是毫无疑问的”。
@#@@#@[例句仿写] 毫无疑问,北京奥运会是一个巨大成功。
@#@@#@________________________________________BeijingOlympicswasagreatsuccess.@#@【答案】 Thereisnodoubtthat@#@2.Evenifwestartreducingtheamountofcarbondioxideandothergreenhousegases,theclimateisgoingtokeeponwarmingfordecadesorcenturies.@#@[信息提取] evenif即使;@#@尽管,引导让步状语从句,与eventhough近义。
@#@@#@[例句仿写] 即使受到邀请我也不去参加他的晚会。
@#@@#@________________________________________,Iwillnotgotohisparty.@#@【答案】 EvenifIaminvited@#@3.ItisOKtoleaveanelectricalapplianceonsolongasyouareusingit—ifnot,turnitoff!
@#@@#@[信息提取] solongas=aslongas“只要”引导条件状语从句。
@#@@#@[例句仿写] 只要你有自信,你会获胜的。
@#@@#@________________________________________________________________,you’llwin.@#@【答案】 Solongasyouhaveconfidenceinyourself@#@4.Ittakesalotofenergytomakethingsfromnewmaterials,so,ifyoucan,buythingsmadefromrecycledmaterials.@#@[信息提取] 句中take作为“需要”讲,常用于Ittakes...todo...结构。
@#@@#@[例句仿写] 要想成为一名长跑运动员,需要有体力和耐力。
@#@@#@________________________________________________________alongdistancerunner.@#@【答案】 Ittakesstrengthandpatiencetobe@#@quantityn.量;@#@数量@#@
(1)inquantity(=inlargeamounts)/inlargequantities大量地@#@It’salotcheaperifyoubuyitinquantity.@#@如果你大量购买要便宜得多。
@#@@#@Mybrotherboughtalargequantityofbooks.@#@我弟弟买了大量的书。
@#@@#@Therearelargequantitiesofraininthisarea.@#@这个地区的雨量很大。
@#@@#@QuantitiesoffoodandtentsweresenttoWenchuanfromShandong.@#@大量的食品和帐篷被从山东运到汶川。
@#@@#@aquantityof后同样可接不可数名词或可数名词的复数形式。
@#@但当它作主语时谓语动词一般根据其所修饰的名词而定,而quantitiesof作主语时,不论其后的名词可数或不可数,谓语动词都用复数。
@#@@#@1.(2009年福建卷)—Whydoesthelakesmellterrible?
@#@@#@—Becauselargequantitiesofwater________.@#@A.havepolluted B.isbeingpolluted@#@C.hasbeenpollutedD.havebeenpolluted@#@【解析】 句意为:
@#@——这湖水怎么这么难闻?
@#@——因为大量的水已经被污染了。
@#@本题考查时态、语态及主谓一致问题。
@#@water与pollute为被动关系,故排除A项;@#@B表“正被污染”,故排除;@#@largequantitiesof后加名词,谓语动词应与quantities保持一致,故排除C项,答案为D项。
@#@@#@【答案】 D@#@opposevt.反对;@#@反抗;@#@与(某人)较量@#@
(1)opposesth./doingsth./sb.doingsth.@#@反对某事/做某事/某人做某事@#@Heopposedtheproposaltobuildanewhall.@#@他反对修建新礼堂的建议。
@#@@#@Iopposeyou/yourgoingtherealone.@#@我反对你单独去那儿。
@#@@#@
(2)beopposedto...反对……;@#@与……对立@#@Sheseemsverymuchopposedtoyourgoingabroad.@#@她好像很反对你出国。
@#@@#@Tobehonest,Iamopposedtoyourplan.@#@说实话,我反对你的计划。
@#@@#@average@#@
(1)adj.平均的;@#@普通的;@#@正常的;@#@平常的@#@Theaverageageoftheboysinthisclassisfifteen.@#@这个班级男生的平均年龄为十五岁。
@#@@#@Thereisnothingspecialabouthim,heisveryaverage.@#@他没有什么特别的,他非常普通。
@#@@#@
(2)n.平均数;@#@平均水平;@#@一般水准@#@ @#@@#@Myschoolworkiswellaboveaverage.@#@我的学习成绩远远高于平均水平。
@#@@#@Onaveragemensmokemorecigarettesthanwomen.@#@平均看来,男子比女子吸烟多。
@#@@#@2.Don’texpecttoomuchofhim.Afterall,heisachildof________intelligence.@#@A.averageB.slight@#@C.strangeD.different@#@【解析】 根据前句“不要对他期望太大”。
@#@可以推断,这个孩子智力水平一般。
@#@@#@【答案】 A@#@glance@#@
(1)vi.看一下;@#@扫视@#@ @#@@#@Heglancedoverhisshouldernervously.他紧张地回头张望。
@#@@#@Heglancedoverthemorningpaperandwentout.@#@他匆匆地浏览了晨报然后出去了。
@#@@#@
(2)n.一瞥;@#@匆匆一看@#@ @#@@#@Icouldseeataglancethatitwasn’thisownwork.@#@我一眼就看出这不是他本人的作品。
@#@@#@Itookaglanceatthehallandfoundmanyfamiliarfacesamongtheaudience.我扫视了一下大厅,发现观众中有许多熟悉的面孔。
@#@@#@3.Afteraquick________atthepatient,thedoctorrangforanambulance.@#@A.glanceB.glare@#@C.gazeD.stare@#@【解析】 句意为:
@#@在看了病人一眼之后,医生打电话叫了救护车。
@#@glance扫视,匆匆一看,一瞥。
@#@@#@【答案】 A@#@circumstance环境;@#@情况(常用复数)@#@ @#@@#@In/Underthecircumstanceshefeltunabletoacceptthejob.@#@在这种情况下,他觉得无法接受这项工作。
@#@@#@In/Undernocircumstancesshouldababybeleftaloneinthehouse.无论如何都不能把婴儿独自留在家里。
@#@@#@Circumstancespermitting,I’llgoabroadtostudy.@#@情况允许的话,我要出国学习。
@#@@#@4.Undernocircumstances________tomovetoanewplacefarawayfromherworkplace,becauseitisn’tconvenientforherfamilyandherself.@#@A.KarenwillagreeB.willKarenagree@#@C.KarenwilldisagreeD.willKarendisagree@#@【解析】 句意为:
@#@因为对于Karen和她的家庭来说搬到远离她的工作地点去住是太不方便,所以她决不同意搬家。
@#@题中因为undernocircumstances(决不)这一否定短语开头,故此应用倒装结构,排除A、C。
@#@根据句意可以排除D选项。
@#@@#@【答案】 B@#@comeabout产生;@#@发生;@#@没有被动式,有时用it作形式主语,后面跟that引导的主语从句。
@#@@#@Thedriverwouldn’ttellmehowtheaccidentcameabout.@#@司机就是不告诉我事故是怎么发生的。
@#@@#@I’llneverunderstandhowitcameaboutthatyoumadesuchamistake.我真不明白你居然犯了这么个错误。
@#@@#@辨析:
@#@comeabout,happen,takeplace与breakout@#@
(1)comeabout发生,产生,指要求解释或说明事情发生的理由。
@#@经常与how连用。
@#@@#@Howdidthesedifferencescomeabout?
@#@@#@这些差别是怎样产生的?
@#@@#@
(2)happen发生,常用词汇,指偶然的,意外的,具体客观事物的发生或出现,尤其指自发的未能预见的事情发生。
@#@@#@Luckilytheearthquakedidn’thappeninthecenterofthecity.@#@幸亏地震没有发生在市中心。
@#@@#@(3)takeplace发生,指事件或事故的发生是在预料中的并非是偶然的,进而引申为按计划“进行,举行”。
@#@@#@Greatchangeshavetakenplaceinourschoolinthepastfewyears.最近几年我们学校发生了很大变化。
@#@@#@(4)breakout指战争、火灾、疾病等的突然“发生、爆发”。
@#@@#@Afirebrokeoutduringthenight.夜间突然发生了火灾。
@#@@#@5.It’salready10o’clock.Iwonderhowit________thatshewastwohourslateonsuchashorttrip.@#@A.cameoverB.cameout@#@C.cameaboutD.cameup@#@【解析】 句意为“已经十点钟了。
@#@我不知道是怎么回事,这么短的路程她迟到了两个小时”。
@#@comeover来访;@#@comeout出来,出版;@#@comeabout发生,出现;@#@comeup出现,到来。
@#@@#@【答案】 C@#@resultin导致,主语为起因,宾语为结果。
@#@@#@Theaccidentresultedintwodeaths.@#@这场事故导致两人死亡。
@#@@#@Oureffortsresultedinsuccess.我们的努力终于成功了。
@#@@#@
(1)resultfrom...由……造成;@#@因……而产生@#@
(2)asaresult结果@#@(3)asaresultof...由于……的结果@#@(4)withoutresult没有结果;@#@白费@#@Theterribleaccidentresultedfromhiscarelessness.@#@那桩可怕的意外事件因他的疏忽大意而引起。
@#@@#@Hewaslateasaresultoftherain.由于下雨他迟到了。
@#@@#@Wetriedhard,butwithoutresult.@#@我们尽了很大努力,但白费了。
@#@@#@6.Anydamage________carelessnessmustbepaidforbytheborrower.@#@A.resultingfromB.resultingin@#@C.resultedfromD.resultedin@#@【解析】 根据句子结构可排除C、D两项。
@#@句意为“由于疏忽所引起的任何损失应由借用者负责赔偿”。
@#@resultin导致,致使;@#@resultfrom起因于。
@#@@#@【答案】 A@#@putupwith意为“忍受;@#@容忍”,既可接人,也可接事物。
@#@@#@Idon’tknowhowhisparentsputupwithhisbadbehaviour.@#@我不知道他的父母是如何忍受他的恶劣行为的。
@#@@#@Shecouldhardlyputupwiththatfellowanylonger.@#@她再也不能忍受那家伙。
@#@@#@Iwon’tputupwithherrudenessanylonger!
@#@@#@我再也不会容忍她的粗鲁无理了。
@#@@#@stand,bear,tolerate忍受,容忍@#@Hecan’tstandbeinglaughedatinpublic.@#@他忍受不了在公众场合被嘲笑。
@#@@#@7.Ican________somenoisewhileI’mstudying,butIcan’tstandveryloudnoise.@#@A.putupwithB.getridof@#@C.haveeffectsonD.keepawayfrom@#@【答案】 A@#@Thereisnodoubtthattheearthisbecomingwarmer(seeGraph1)andthatitishumanactivitythathascausedthisglobalwarmingratherthanarandombutnaturalphenomenon.毫无疑问,地球正变得更加暖和(见图表1),而且全球转暖是人为的,而不是随意的自然现象。
@#@@#@在本句型中,that从句是同位语从句,表示doubt的内容。
@#@用法与thereisachancethat...是一样的。
@#@@#@
(1)doubt作为名词,若用在否定句或疑问句中,后面接that引导的同位语从句;@#@若用在肯定句中,后面接whether引导的同位语从句。
@#@注意此时不可以用if替换whether。
@#@@#@
(2)doubt作为动词,若用于否定句和疑问句中,后面接that引导的宾语从句;@#@若用于肯定句中,后面一般接whether或if引导的宾语从句。
@#@@#@①Thereisnodoubtthattheywillagreewithyouonthismatter.@#@毫无疑问,他们在这件事上会同意你的。
@#@@#@②Thereisnodoubtthatradioandtelevisionareimportantmeansofcommunication.@#@毫无疑问,收音机和电视机是信息交流的重要方式。
@#@@#@③Ihavenodoubtthathewillsucceed.我相信他会成功的。
@#@@#@There’ssomedoubtwhether/ifheisfitforthejob.@#@他是否胜任这项工作有点疑问。
@#@@#@④Hedoubtsifshewillkeepherword.@#@他不敢肯定她是否会遵守诺言。
@#@@#@⑤Idon’tdoubt/havenodoubtthathewillwintherace.@#@我不怀疑他将赢得比赛。
@#@@#@8.Someresearchersbelievethatthereisnodoubt________acureforAIDSwillbefound.@#@A.whichB.what@#@C.thatD.whether@#@【解析】 Thereisnodoubtthat...为固定搭配,意为“……是毫无疑问的”。
@#@@#@【答案】 C@#@ItisOKtoleaveanelectricalapplianceonsolongasyouareusingit—ifnot,turnitoff!
@#@只要你在使用电器设备,你便可以把它开着,如果不用就把它关掉!
@#@@#@
(1)solongas=aslongas“只要”引导条件状语从句。
@#@@#@Youmayborrowthebookaslongas/solongasyoukeepitclean.只要你不把书弄脏,就可以借给你。
@#@@#@Solongas/Aslongasyouhaveconfidenceinyourself,you’llwin.只要你有自信,你会获胜的。
@#@@#@aslongas只能用于肯定句,而solongas既可用于肯定句又能用于否定句。
@#@@#@aslongas还可意为“与……一样长,长达……”。
@#@@#@Weneedaropeaslongas30metres.@#@我们需要一条30米长的绳子。
@#@@#@9.(2009年北京卷)Youmayusetheroomasyoulike________youcleanitupafterwards.@#@A.sofarasB.solongas@#@C.incaseD.evenif@#@【解析】 句意为:
@#@你尽可随意使用这个房间,只要你过后打扫干净即可。
@#@sofaras远到;@#@就……而言;@#@solongas只要;@#@incase以防(万一);@#@evenif即使。
@#@@#@【答案】 B@#@10.(2008年全国卷Ⅰ)—Haveyougotanyideaforthesummervacation?
@#@@#@—Idon’tmindwherewego________there’ssun,seaandbeach.@#@A.asifB.aslongas@#@C.nowthatD.inorderthat@#@【解析】 句意为:
@#@——暑假有什么打算?
@#@——去什么地方都行,只要有阳光、大海和沙滩就可以了。
@#@aslongas只要,引导条件状语从句;@#@asif好像;@#@nowthat既然;@#@inorderthat为了,不符合语境要求。
@#@@#@【答案】 B@#@11.(2008年安徽卷)—Doyouhaveaminute?
@#@I’vegotsomethingtotellyou.@#@—OK,________youmakeitshort.@#@A.nowthatB.ifonly@#@C.solongasD.everytime@#@【解析】 句意为:
@#@——你有时间吗?
@#@我有事要给你说。
@#@——好的,只要长话短说。
@#@考查一组短语作连词的用法。
@#@nowthat既然;@#@由于,引导原因状语从句;@#@ifonly要是……就好了,用虚拟语气,表示与事实相反的情况;@#@solongas只要,表示条件;@#@everytime每次;@#@每当,表示时间。
@#@@#@【答案】 C@#@
(2)ifnot在本句中是ifyouarenotusingit的省略形式@#@Ithinkthetrainleavesatmidday.You’dbettertakeataxi.Ifnot,maybeyou’llmissit.@#@我想火车是正午开,你最好打的去,否则可能会误车的。
@#@@#@Isanybodyfeelingcold?
@#@Ifnot,let’sputthecentralheatingoff.@#@有谁感到冷吗?
@#@如果没有,就把暖气关掉。
@#@@#@
(1)ifso如果这样@#@Haveyougotafreeeveningnextweek?
@#@Ifso,let’shavedinnertogether.下周你哪个晚上没事?
@#@如";i:
15;s:
16749:
"PX4的无人机飞控应用开发@#@PX4/PixHawk无人机飞控应用开发@#@1、PX4/Pixhawk飞控软件架构简介@#@PX4是目前最流行的开源飞控板之一。
@#@PX4的软件系统实际上就是一个firmware,其核心OS为NuttX实时ARM系统。
@#@其固件同时附带了一系列工具集、系统驱动/模块与外围软件接口层,所有这些软件(包括用户自定义的飞控软件)随OS内核一起,统一编译为固件形式,然后上传到飞控板中,从而实现对飞控板的软件配置。
@#@@#@PX4配套的软件架构主要分为4层。
@#@理解其软件架构是开发用户自定义飞控应用软件的基础。
@#@@#@a)API层:
@#@这个好理解。
@#@@#@b)框架层:
@#@包含了操作基础飞行控制的默认程序集(节点)@#@c)系统库:
@#@包含了所有的系统库和基本交通控制的函数@#@d)OS内核:
@#@提供硬件驱动程序、网络、UAVCAN和故障安全系统@#@上述是个面向PX4系统实现者的相对具体的软件架构。
@#@实际上还有另外一种面向PX4自定义飞控应用开发者的高层软件架构描述,相对抽象,但更简单,就是整个PX4的软件从整体上分为2层:
@#@@#@a)PX4flightstack:
@#@一系列自治无人机自动控制算法的集合@#@b)PX4Middleware:
@#@一系列针对无人机控制器、传感器等物理设备的驱动及底层通信、调度等机制的集合@#@PX4软件架构中,最有意思的一点在于整个架构的抽象性(多态性)。
@#@即,为了最大限度保障飞控算法代码的重用性,其将飞控逻辑与具体的底层控制器指令实现进行了解耦合。
@#@一套高层飞控算法(如autopilot、GeoFence等)在不做显著修改的情况下,能够适用于固定翼、直升机、多旋翼等多种机型的控制场合,这时候就体现出PX4飞控的威力来了:
@#@在用户程序写好之后,如果需要替换无人机机架的话,仅需简单的修改一下机架配置参数即可,高层的用户自定义飞控应用几乎无需修改。
@#@@#@理解上述初衷至关重要。
@#@有很多搞自动化出身、没太多软件经验的朋友倾向于直接使用底层控制协议来控制飞控板,但实际上PX4架构已经在更高的抽象层面上提供了更好的选择,无论是代码维护成本、开发效率、硬件兼容性都能显著高于前者。
@#@很多支持前者方式的开发者的理由主要在于高层封装机制效率较低,而飞控板性能不够,容易给飞控板造成较大的处理负载,但实际从个人感觉上来看,遵循PX4的软件架构模式反倒更容易实现较高处理性能,不容易产生控制拥塞,提升无人机侧系统的并发处理效率。
@#@@#@2、PX4/Pixhawk飞行控制协议与逻辑@#@Mavlink是目前最常见的无人机飞控协议之一。
@#@PX4对Mavlink协议提供了良好的原生支持。
@#@该协议既可以用于地面站(GCS)对无人机(UAV)的控制,也可用于UAV对GCS的信息反馈。
@#@其飞控场景一般是这样的:
@#@@#@a)手工飞控:
@#@GCS->@#@(MavLink)->@#@UAV@#@b)信息采集:
@#@GCS<@#@-(Mavlink)<@#@-UAV@#@c)自治飞控:
@#@UserApp->@#@(MavLink)->@#@UAV@#@也就是说,如果你想实现地面站控制飞行,那么由你的地面站使用Mavlink协议,通过射频信道(或wifietc.)给无人机发送控制指令就可以了。
@#@如果你想实现无人机自主飞行,那么就由你自己写的应用(运行在无人机系统上)使用Mavlink协议给无人机发送本地的控制指令就可以了。
@#@@#@然而,为实现飞控架构的灵活性,避免对底层实现细节的依赖,在PX4中,并不鼓励开发者在自定义飞控程序中直接使用Mavlink,而是鼓励开发者使用一种名为uORB((MicroObjectRequestBroker,微对象请求代理)的消息机制。
@#@其实uORB在概念上等同于posix里面的命名管道(namedpipe),它本质上是一种进程间通信机制。
@#@由于PX4实际使用的是NuttX实时ARM系统,因此uORB实际上相当于是多个进程(驱动级模块)打开同一个设备文件,多个进程(驱动级模块)通过此文件节点进行数据交互和共享。
@#@@#@在uORB机制中,交换的消息被称之为topic,一个topic仅包含一种message类型(即数据结构)。
@#@每个进程(或驱动模块)均可“订阅”或“发布”多个topic,一个topic可以存在多个发布者,而且一个订阅者可也订阅多个topic。
@#@而正因为有了uORB机制的存在,上述飞控场景变成了:
@#@@#@a)手工飞控:
@#@GCS->@#@(MavLink)->@#@(uORBtopic)->@#@UAV@#@b)信息采集:
@#@GCS<@#@-(Mavlink)<@#@-(uORBtopic)<@#@-UAV@#@c)自治飞控:
@#@UserApp->@#@(uORBtopic)->@#@(MavLink)->@#@UAV@#@有了以上背景基础,便可以自写飞控逻辑了,仅需在PX4源码中,添加一个自定义module,然后使用uORB订阅相关信息(如传感器消息等),并发布相关控制信息(如飞行模式控制消息等)即可。
@#@具体的uORBAPI、uORB消息定义可参考PX4文档与源码,所有控制命令都在firmware代码的msg里面,不再敷述。
@#@@#@最后值得一提的是,在PX4系统中,还提供了一个名为mavlink的专用module,源码在firmware的src/modules/mavlink中,这货与linux的控制台命令工具集相当相似,其既可以作为ntt控制台下的命令使用,又可作为系统模块加载后台运行。
@#@其所实现的功能包括:
@#@1)uORB消息解析,将uORB消息实际翻译为具体的Mavlink底层指令,或反之。
@#@2)通过serial/射频通信接口获取或发送Mavlink消息,既考虑到了用户自写程序的开发模式,也适用于类似linux的脚本工具链开发模式,使用起来很灵活,有兴趣的可以看看。
@#@@#@PX4飞控中利用EKF估计姿态角代码详解@#@PX4飞控中主要用EKF算法来估计飞行器三轴姿态角,具体c文件在px4\Firmware\src\modules\attitude_estimator_ekf\codegen\目录下@#@∙具体原理@#@∙程序详解@#@∙下一步@#@1.具体原理@#@EKF算法原理不再多讲,具体可参见上一篇blog @#@@#@这篇讲EKF算法执行过程,需要以下几个关键式子:
@#@@#@∙飞行器状态矩阵:
@#@ @#@@#@这里@#@表示三轴角速度,@#@表示三轴角加速度,@#@表示加速度在机体坐标系三轴分量,@#@,表示磁力计在机体坐标系三轴分量。
@#@@#@∙测量矩阵 @#@@#@分别由三轴陀螺仪,加速度计,磁力计测得。
@#@@#@∙状态转移矩阵:
@#@@#@飞行器下一时刻状态预测矩阵如下:
@#@@#@其中W项均为高斯噪声,@#@ @#@为飞行器在姿态发生变化后,坐标系余旋变换矩阵,对该函数在@#@处求一阶偏导,可得到状态转移矩阵:
@#@@#@此时可得到飞行器状态的先验估计:
@#@@#@∙利用测量值修正先验估计:
@#@@#@这里测量矩阵H与状态矩阵X为线性关系,故无需求偏导。
@#@@#@卡尔曼增益:
@#@@#@状态后验估计:
@#@@#@方差后验估计:
@#@@#@2.程序详解@#@整个EKF的代码挺长的,大部分是矩阵运算,而且使用嵌套for循环来执行的,所以读起来比较费劲,但是要是移植到自己工程上的话必然离不开这一步,所以花了一个下午把各个细节理清楚,顺便记录分享。
@#@@#@/*Includefiles*/@#@#include"@#@rt_nonfinite.h"@#@@#@#include"@#@attitudeKalmanfilter.h"@#@@#@#include"@#@rdivide.h"@#@@#@#include"@#@norm.h"@#@@#@#include"@#@cross.h"@#@@#@#include"@#@eye.h"@#@@#@#include"@#@mrdivide.h"@#@@#@/*@#@'@#@输入参数:
@#@updateVect[3]:
@#@用来记录陀螺仪,加速度计,磁力计传感器数值是否有效@#@z[9]:
@#@测量矩阵@#@x_aposteriori_k[12]:
@#@上一时刻状态后验估计矩阵,用来预测当前状态@#@P_aposteriori_k[144]:
@#@上一时刻后验估计方差@#@eulerAngles[3]:
@#@输出欧拉角@#@Rot_matrix[9]:
@#@输出余弦矩阵@#@x_aposteriori[12]:
@#@输出状态后验估计矩阵@#@P_aposteriori[144]:
@#@输出方差后验估计矩阵'@#@@#@*/@#@voidattitudeKalmanfilter(@#@constuint8_TupdateVect[3],@#@real32_Tdt,@#@constreal32_Tz[9],@#@constreal32_Tx_aposteriori_k[12],@#@constreal32_TP_aposteriori_k[144],@#@constreal32_Tq[12],@#@real32_Tr[9],@#@real32_TeulerAngles[3],@#@real32_TRot_matrix[9],@#@real32_Tx_aposteriori[12],@#@real32_TP_aposteriori[144])@#@{@#@/*以下这一堆变量用到的时候再解释*/@#@real32_Twak[3];@#@@#@real32_TO[9];@#@@#@real_Tdv0[9];@#@@#@real32_Ta[9];@#@@#@int32_Ti;@#@@#@real32_Tb_a[9];@#@@#@real32_Tx_n_b[3];@#@@#@real32_Tb_x_aposteriori_k[3];@#@@#@real32_Tz_n_b[3];@#@@#@real32_Tc_a[3];@#@@#@real32_Td_a[3];@#@@#@int32_Ti0;@#@@#@real32_Tx_apriori[12];@#@@#@real_Tdv1[144];@#@@#@real32_TA_lin[144];@#@@#@staticconstint8_Tiv0[36]={0,0,0,@#@0,0,0,@#@0,0,0,@#@1,0,0,@#@0,1,0,@#@0,0,1,@#@0,0,0,@#@0,0,0,@#@0,0,0,@#@0,0,0,@#@0,0,0,@#@0,0,0};@#@@#@real32_Tb_A_lin[144];@#@@#@real32_Tb_q[144];@#@@#@real32_Tc_A_lin[144];@#@@#@real32_Td_A_lin[144];@#@@#@real32_Te_A_lin[144];@#@@#@int32_Ti1;@#@@#@real32_TP_apriori[144];@#@@#@real32_Tb_P_apriori[108];@#@@#@staticconstint8_Tiv1[108]={1,0,0,0,0,0,0,0,0,0,0,0,@#@0,1,0,0,0,0,0,0,0,0,0,0,@#@0,0,1,0,0,0,0,0,0,0,0,0,@#@0,0,0,0,0,0,1,0,0,0,0,0,@#@0,0,0,0,0,0,0,1,0,0,0,0,@#@0,0,0,0,0,0,0,0,1,0,0,0,@#@0,0,0,0,0,0,0,0,0,1,0,0,@#@0,0,0,0,0,0,0,0,0,0,1,0,@#@0,0,0,0,0,0,0,0,0,0,0,1};@#@@#@real32_TK_k[108];@#@@#@real32_Tfv0[81];@#@@#@staticconstint8_Tiv2[108]={1,0,0,0,0,0,0,0,0,@#@0,1,0,0,0,0,0,0,0,@#@0,0,1,0,0,0,0,0,0,@#@0,0,0,0,0,0,0,0,0,@#@0,0,0,0,0,0,0,0,0,@#@0,0,0,0,0,0,0,0,0,@#@0,0,0,1,0,0,0,0,0,@#@0,0,0,0,1,0,0,0,0,@#@0,0,0,0,0,1,0,0,0,@#@0,0,0,0,0,0,1,0,0,@#@0,0,0,0,0,0,0,1,0,@#@0,0,0,0,0,0,0,0,1};@#@@#@real32_Tb_r[81];@#@@#@real32_Tfv1[81];@#@@#@real32_Tf0;@#@@#@real32_Tc_P_apriori[36]=@#@{1,0,0,0,0,0,0,0,0,0,0,0,@#@0,1,0,0,0,0,0,0,0,0,0,0,@#@0,0,1,0,0,0,0,0,0,0,0,0};@#@@#@real32_Tfv2[36];@#@@#@staticconstint8_Tiv4[36]={1,0,0,@#@0,1,0,@#@0,0,1,@#@0,0,0,@#@0,0,0,@#@0,0,0,@#@0,0,0,@#@0,0,0,@#@0,0,0};@#@@#@real32_Tc_r[9];@#@@#@real32_Tb_K_k[36];@#@@#@real32_Td_P_apriori[72];@#@@#@staticconstint8_Tiv5[72]@#@={1,0,0,0,0,0,0,0,0,0,0,0,@#@0,1,0,0,0,0,0,0,0,0,0,0,@#@0,0,0,0,0,0,1,0,0,0,0,0,@#@0,0,0,0,0,0,0,0,1,0,0,0};@#@@#@real32_Tc_K_k[72];@#@@#@staticconstint8_Tiv6[72]={1,0,0,0,0,0,@#@0,1,0,0,0,0,@#@0,0,1,0,0,0,@#@0,0,0,0,0,0,@#@0,0,0,0,0,0,@#@0,0,0,1,0,0,@#@0,0,0,0,1,0,@#@0,0,0,0,0,1,@#@0,0,0,0,0,0,@#@0,0,0,0,0,0,@#@0,0,0,0,0,0};@#@@#@real32_Tb_z[6];@#@@#@staticconstint8_Tiv7[72]@#@={1,0,0,0,0,0,0,0,0,0,0,0,@#@0,1,0,0,0,0,0,0,0,0,0,0,@#@0,0,1,0,0,0,0,0,0,0,0,0,@#@0,0,0,0,0,0,0,0,0,1,0,0,@#@0,0,0,0,0,0,0,0,0,0,0,1};@#@@#@staticconstint8_Tiv8[72]@#@={1,0,0,0,0,0,@#@0,1,0,0,0,0,@#@0,0,1,0,0,0,@#@0,0,0,0,0,0,@#@0,0,0,0,0,0,@#@0,0,0,0,0,0,@#@0,0,0,1,0,0,@#@0,0,0,0,0,1};@#@@#@real32_Tfv3[6];@#@@#@real32_Tc_z[6];@#@@#@/*开始计算*/@#@/*'@#@wak[]为当前状态三轴角加速度'@#@*/@#@wak[0]=x_aposteriori_k[3];@#@@#@wak[1]=x_aposteriori_k[4];@#@@#@wak[2]=x_aposteriori_k[5];@#@@#@∙1@#@∙2@#@∙3@#@∙4@#@∙5@#@∙6@#@∙7@#@∙8@#@∙9@#@∙10@#@∙11@#@∙12@#@∙13@#@∙14@#@∙15@#@∙16@#@∙17@#@∙18@#@∙19@#@∙20@#@∙21@#@∙22@#@∙23@#@∙24@#@∙25@#@∙26@#@∙27@#@∙28@#@∙29@#@∙30@#@∙31@#@∙32@#@∙33@#@∙34@#@∙35@#@∙36@#@∙37@#@∙38@#@∙39@#@∙40@#@∙41@#@∙42@#@∙43@#@∙44@#@∙45@#@∙46@#@∙47@#@∙48@#@∙49@#@∙50@#@∙51@#@∙52@#@∙53@#@∙54@#@∙55@#@∙56@#@∙57@#@∙58@#@∙59@#@∙60@#@∙61@#@∙62@#@∙63@#@∙64@#@∙65@#@∙66@#@∙67@#@∙68@#@∙69@#@∙70@#@∙71@#@∙72@#@∙73@#@∙74@#@∙75@#@∙76@#@∙77@#@∙78@#@∙79@#@∙80@#@∙81@#@∙82@#@∙83@#@∙84@#@∙85@#@∙86@#@∙87@#@∙88@#@∙89@#@∙90@#@∙91@#@∙92@#@∙93@#@∙94@#@∙95@#@∙96@#@∙97@#@∙98@#@∙99@#@∙100@#@∙101@#@∙102@#@∙103@#@∙104@#@∙105@#@∙106@#@∙107@#@∙108@#@∙109@#@∙110@#@∙111@#@∙112@#@∙113@#@∙114@#@∙115@#@∙116@#@∙117@#@∙118@#@∙119@#@∙120@#@∙121@#@∙122@#@∙123@#@∙124@#@∙125@#@∙126@#@∙127@#@∙128@#@∙129@#@∙130@#@∙131@#@∙132@#@∙133@#@∙134@#@∙135@#@∙136@#@∙137@#@∙138@#@∙139@#@∙140@#@∙141@#@∙142@#@∙143@#@∙144@#@∙145@#@∙146@#@∙147@#@∙148@#@∙149@#@∙150@#@∙151@#@∙152@#@∙153@#@∙154@#@∙155@#@∙156@#@∙157@#@∙158@#@∙159@#@∙160@#@∙161@#@∙162@#@/*‘欧拉角旋转矩阵’ @#@@#@O=⎡⎣⎢0wzwy−wz0wxwy−wx0⎤⎦⎥@#@这里的O矩阵相当于A矩阵中的@#@的转置矩阵!
@#@ @#@@#@*/@#@O[0]=0.0F;@#@@#@O[1]=-x_aposteriori_k[2];@#@@#@O[2]=x_aposteriori_k[1];@#@@#@O[3]=x_aposteriori_k[2];@#@@#@O[4]=0.0F;@#@@#@O[5]=-x_aposteriori_k[0];@#@@#@O[6]=-x_aposteriori_k[1];@#@@#@O[7]=x_aposteriori_k[0];@#@@#@O[8]=0.0F;@#@@#@/*预测转过一个小角度之后的重力向量三轴投影*/@#@/*a=[1,-delta_z,delta_y;@#@@#@*delta_z,1,-delta_x;@#@@#@*-delta_y,delta_x,1]'@#@;@#@*/@#@eye(dv0);@#@//dv0矩阵单位化@#@for(i=0;@#@i<@#@9;@#@i++){@#@a[i]=(real32_T)dv0[i]+O[i]*dt;@#@@#@}@#@/*预测转过一个小角度之后的磁力向量三轴投影*/@#@eye(dv0);@#@@#@for(i=0;@#@i<@#@9;@#@i++){@#@b_a[i]=(real32_T)dv0[i]+O[i]*dt;@#@@#@}@#@∙1@#@∙2@#@∙3@#@∙4@#@∙5@#@∙6@#@∙7@#@∙8@#@∙9@#@∙10@#@∙11@#@∙12@#@∙13@#@∙14@#@∙15@#@∙16@#@∙17@#@∙18@#@∙19@#@∙20@#@∙21@#@∙22@#@∙23@#@∙24@#@∙25@#@∙26@#@∙27@#@∙28@#@/*@#@a=⎡⎣⎢1Δz−Δy−Δz1ΔxΔy−Δx1⎤⎦⎥@#@其实就是这个大家都很眼熟的的余弦矩阵的转置,用来更新机体转过一个角度之后的重力和磁力三轴投影,只不过两次计算间隔时间很短,变化角度很小,因此忽略高阶小量之后就变成了这个样子。
@#@这里还少一个时间系数dt,下面会补上。
@#@ @#@@#@⎡⎣⎢cosy∗cosz−cosy∗sinzsiny(sinx∗siny∗cosz)+(cosx∗sinz)−(sinx∗siny∗sinz)+(cosx∗cosz)−sinx∗cosy−(cosx∗siny∗cosz)+(sinx∗sinz)(cosx∗siny∗sinz)+(sinx∗cosz)cosx∗cosy⎤⎦⎥@#@*/@#@x_n_b[0]=x_aposteriori_k[0];@#@//角速度@#@x_n_b[1]=x_aposteriori_k[1];@#@@#@x_n_b[2]=x_aposteriori_k[2];@#@@#@b_x_aposteriori_k[0]=x_aposteriori_k[6];@#@//加速度@#@b_x_aposteriori_k[1]=x_aposteriori_k[7];@#@@#@b_x_aposteriori_k[2]=x_aposteriori_k[8];@#@@#@z_n_b[0]=x_aposteriori_k[9];@#@//磁力计@#@z_n_b[1]=x_aposteriori_k[10];@#@@#@z_n_b[2]=x_aposteriori_k[11];@#@@#@for(i=0;@#@i<@#@3;@#@i++){@#@c_a[i]=0.0F;@#@@#@for(i0=0;@#@i0<@#@3;@#@i0++){@#@c_a[i]+=a[i+3*i0]*b_x_aposteriori_k[i0];@#@@#@}@#@d_a[i]=0.0F;@#@@#@for(i0=0;@#@i0<@#@3;@#@i0++){@#@d_a[i]+=b_a[i+3*i0]*z_n_b[i0];@#@@#@}@#@x_apriori[i]=x_n_b[i]+dt*wak[i];@#@@#@}@#@for(i=0;@#@i<@#@3;@#@i++){@#@x_apriori[i+3]=wak[i];@#@@#@}@#@for(i=0;@#@i<@#@3;@#@i++){@#@x_apriori[i+6]=c_a[i];@#@@#@}@#@for(i=0;@#@i<@#@3;@#@i++){@#@x_apriori[i+9]=d_a[i];@#@@#@}//得到状态先验估计@#@∙1@#@∙2@#@∙3@#@∙4@#@∙5@#@∙6@#@∙7@#@∙8@#@∙9@#@∙10@#@∙11@#@∙12@#@∙13@#@∙14@#@∙15@#@∙16@#@∙17@#@∙18@#@∙19@#@∙20@#@∙21@#@∙22@#@∙23@#@∙24@#@∙25@#@∙26@#@∙27@#@∙28@#@∙29@#@∙30@#@∙31@#@∙32@#@∙33@#@∙34@#@/* @#@@#@根据上述矩阵运算";i:
16;s:
12430:
"小初高学习届高三地理上学期开学考试试题3@#@盐城市田家炳中学2019届高三第一学期期初考试@#@地理试题@#@一、单项选择题@#@北京时间2017年9月15日,在太空工作了20年的“卡西尼号”探测器完成了最后一次土星探测任务,坠落在土星大气层中。
@#@据此完成1~3题。
@#@@#@1.“卡西尼号”探测器所处的天体系统中,级别最低的是(@#@)@#@A.行星系统B.恒星系统C.银河系D.总星系@#@2.最有可能干扰“卡西尼号”与地球之间通讯的是()@#@A.太阳辐射B.太阳活动C.雾霾D.大气降水@#@3.该日地球上的四地中,出现极昼现象的是()@#@A.90º@#@SB.90º@#@NC.66º@#@34´@#@S,60º@#@ED.66º@#@34´@#@N,60º@#@W@#@北京时间12月8日16:
@#@30,为期4天的第七届书香中国·@#@北京阅读季阅读盛典在北@#@京天桥艺术中心隆重闭幕。
@#@据此完成下面小题。
@#@@#@4.此次活动举行期间()@#@A.太阳直射点向北半球移动B.北京此时正值多雨的季节@#@C.北京昼长夜短,且昼渐短D.北京正午太阳高度角变小@#@5.此次盛典闭幕时,伦敦时间为()@#@A.12月8日上午8:
@#@30B.12月8日下午8:
@#@30@#@C.12月9日凌晨0:
@#@30D.12月7日凌晨0:
@#@30@#@读右图,回答下面小题。
@#@@#@6.当你正在教室进行地理考试时,地球的公转位置最接近于图中的( )@#@A.aB.bC.cD.d@#@7.在你考试及以后的一个月内,下列说法正确的是()@#@A.我国的昼长逐渐变短@#@B.太阳直射点一直向北移动@#@C.地球公转速度逐渐变快@#@D.此时不适合南极科考@#@下图是“地震波速度与地球内部构造图”和“火山景观图”,读图回答8~9题。
@#@@#@ @#@@#@8.以下说法正确的是(@#@)@#@A.A表示纵波B.B表示横波@#@C.C层为地壳D.D界面为古登堡界面@#@9.从火山口喷发出的炽热岩浆,一般来源于( )@#@A.C圈层B.E圈层C.G圈层D.H圈层@#@最新研究发现,鸟粪可以影响北极气温变化。
@#@每年迁徙至北极地区的鸟类产生的鸟粪被微生物分解后,会释放约4万公吨的氨,氨与海水浪花喷洒出的硫酸盐及水分子混合@#@后,形成大量悬浮在空气中的尘埃颗粒。
@#@这些尘埃颗粒物不仅集中在鸟群附近,在整个北极均有分布。
@#@左图示意大气受热过程,右图示意北极地区海鸟。
@#@据此完成10~12题。
@#@@#@ @#@@#@10.鸟粪对北极地区气温的影响及其原理是()@#@A.升高,④增强B.升高,③增强@#@C.降低,①增强D.降低,②增强@#@11.该影响最明显的季节是()@#@A.春季B.夏季C.秋季D.冬季@#@12.北极气温的这种变化,可能导致该地区()@#@A.昼夜温差变大B.海冰加速融化@#@C.海水蒸发加剧D.极地东风加强@#@读下图“四种地貌景观图”,完成13~14题。
@#@@#@ @#@@#@13.主要由沉积作用形成的是()@#@A.①②B.②③C.③④D.①④@#@14.有利于聚落形成的是()@#@A.①B.②C.③D.④@#@15.为避免渤海面积在泥沙淤积和人工填海作用下越来越小,乃至@#@最终消失,近来有专家提议让黄河改道“回家”入黄海。
@#@黄河甲河段形成“地上河”的主要外力作用是( )@#@A.流水的堆积作用@#@B.冰川的搬运作用@#@C.流水的侵蚀作用@#@D.风力的堆积作用@#@冰壶也称“冰上溜石”,其形状为圆壶状,由天然花岗岩制成。
@#@读图完成下列各题。
@#@图乙为岩石圈物质循环示意图。
@#@读图回答16~17题。
@#@@#@ @#@@#@16、图乙中属于制作冰壶的岩石类型的是( )@#@A.aB.bC.cD.d@#@17.下列岩石按成因与制作冰壶的石材属于同一类型的是()@#@A.大理岩B.@#@石灰岩@#@C.砂岩D.玄武岩@#@18.读“岩石圈物质循环示意图”,@#@图中箭头标注错误的是( )@#@A. @#@①② @#@ @#@B. @#@④⑤ @#@ @#@@#@C. @#@③⑤ @#@ @#@D. @#@⑦⑧@#@19.图为“北半球某区域2016年2月3日某时刻地面天气形势图”。
@#@读图判断,此时M地的风向是( @#@ )@#@A.东北风@#@B.西北风@#@C.东南风@#@D.西@#@南风@#@ @#@@#@下图中左图为沿某经线的大气运动示意图,右图为甲气压带及其南北两侧风带的风向图。
@#@据此完成下面20~22题。
@#@@#@ @#@@#@20. @#@关于图中的气压带与风带的说法正确的是( )@#@A.甲气压带为北半球的副热带高气压带B.乙风带控制下气候干燥@#@C.丙风带为南半球的东南信风带D.@#@丁气压带是热力因素形成的@#@21.当某区域受甲气压带控制时,气候特征表现为( )@#@A.高温多雨B.炎热干燥C.温和湿润D.寒冷干燥@#@22.主要受乙风带影响而形成的气候类型是( )@#@A.热带草原气候B.热带沙漠气候@#@C.温带季风气候D.温带海洋性气候@#@该图为近地面等压面分布示意图,据此完成23~24题。
@#@@#@ @#@@#@23.若该地等压面弯曲是由近地面冷热不均导致的,则下面描述正确的是( )@#@A.A地温度高,气压低B.垂直方向气流:
@#@A处上升,B处下沉@#@C.B地温度低,气压高D.水平方向气流:
@#@由A流向B@#@24.若A位于海洋,B位于陆地,则此时( )@#@A.为白天,吹海风B.为白天,吹陆风@#@C.为夜晚,吹海风D.为夜晚,吹陆风@#@我国将资源环境承载能力较弱、大规模集聚,经济和人口条件不够好并关系到全国或较大区域范围生态安全的区域划定为限制开发区,图中阴影部分表示限制开发区。
@#@读图完成25~26题。
@#@@#@25、图中区域与其面临的主要环境问题对应正确的是( )@#@A.①—荒漠化@#@B.②—环境@#@污染@#@C.③—水土流失@#@D.④—湿地减少@#@26、图中④地农业建设和发展的方向,正确的是( )@#@A.封沙育草,禁止砍伐,保护野生动物@#@B.控制开发强度,保护和改善湿地环境@#@C.以森林保育为核心,发展农业的多种经营@#@D.开垦耕地,发展大规模机械化种植业@#@下图为“我国某区域图”。
@#@读图,回答27~28题。
@#@@#@27.图中A地区已成为我国重要的葡萄酒原料生产基地,该地区有利于葡萄种植的自然条件是( )@#@A.光照充足,昼夜温差大B.人口密集,劳动力丰富@#@C.降水丰富,灌溉便利D.平原广阔,黑土肥沃@#@28.图中A地区农业发展中存在较严重的土壤盐碱化问题,其产生的主要原因有( )@#@①冻土发育,含盐水分不易下渗 ②气候干旱,蒸发旺盛 ③长期采用大水漫灌的灌溉方式 ④水流湍急,对土壤侵蚀作用强@#@A.①② B.②③ C.③④ D.①④@#@下图为“新疆土地类型及荒漠化土地形原因图”。
@#@读图,回答29~30题。
@#@@#@29.荒漠化形成的主要自然原因是@#@( )@#@A.流水侵蚀B.风力侵蚀@#@C.冻融作用D.盐碱化@#@30.下列关于新疆土地荒漠化的叙述,正确的是( )@#@A.荒漠化土地面积大于非荒漠化土地面积@#@B.荒漠化主要是滥伐森林造成的@#@C.保护草地,合理利用草场资源是治理荒漠化的主要任务@#@D.只要人类改变了粗放经营的@#@活动方式,就不会造成荒漠化@#@二、判断题(共10小题,请将答案填涂到答题卡上,正确的涂A,错误的涂B)@#@31、由于地球公转,地球表面物体在沿水平方向运动时,其运动方向会发生一定的偏转。
@#@()@#@32、太阳黑子出现在色球层。
@#@()@#@33、水平气压梯度力是形成大气运动的根本原因。
@#@( )@#@34、由于地面冷热不均而形成的空气环流,称为大气环流,它是大气运动最简单形式。
@#@( )@#@35、“背斜成山,向斜成谷”主要是由外力作用形成的。
@#@()@#@36、地壳运动、岩浆活动和变质作用是内力作用的表现形式。
@#@()@#@37、资源开发利用必须十分重视区域生态环境保护。
@#@( )@#@38、我国长江中下游地区夏季伏旱天气主要受气旋控制。
@#@()@#@39、气候异常可以使脆弱的生态环境失衡,是导致目前土地荒漠化日趋严重的主要原因。
@#@( )@#@40、资源型城市走出困境的办法是调整产业结构,大力发展新兴产业与第三产业。
@#@()@#@三、综合题(共3题,总分30分)@#@41.读北半球某时海平面气压分布图(单位:
@#@百帕),完成下列问题。
@#@@#@ @#@@#@
(1)就气流状况而言,甲地为________天气系统。
@#@@#@就气压状况而言,乙地为________天气系统。
@#@@#@乙处天气系统的水平气流呈________时针________(辐合或辐散)。
@#@@#@
(2)此时①②③④四处中,可能出现阴雨天气的两处是__________________。
@#@@#@(3)甲、乙两处昼夜温差大的是____________,理由是______________。
@#@@#@(4)丙、丁两处风力较大的是______________,理由是________________。
@#@@#@42、读图,完成下列问题。
@#@@#@ @#@@#@
(1)地形:
@#@甲是________________,乙是________________。
@#@@#@地质构造:
@#@甲是____________,丙是________________。
@#@@#@
(2)甲地地形的形成原因@#@________________________________________。
@#@@#@(3)简要说明判定乙地地质构造的依据____________________________。
@#@@#@(4)图中@#@岩层含有石油、天然气,@#@请问应当选着在何处开采________________。
@#@@#@(5)由于交通建设的需要,欲在甲、乙、丙三地建设东西向隧道,简要分析为什么不能选择乙、丙两地建设隧道的原因________________________________。
@#@@#@43.阅读材料,完成下列问题。
@#@@#@材料一 我国某区域图。
@#@@#@ @#@@#@
(1)M地位于黄河上游,其建设大型水电站的有利条件是________________________________、________________________________。
@#@@#@
(2)若甲地区植被遭大规模破坏,会加剧________________________等生态环境问题。
@#@@#@(3)左图中的N为西电东送北线工程,该工程的建设对京津冀地区的有利影响是________________________________________、________@#@_________________________。
@#@@#@(4)从产业定位来看,右图中河北省的产业发展优势是________________________。
@#@@#@(5)北京与天津向河北省扩散产业和技术,对河北省产生的影响有哪些?
@#@@#@有利影响:
@#@@#@;@#@@#@不利影响:
@#@@#@ @#@ @#@@#@“京津冀三地产业规划示意@#@盐城市田家炳中学2019届高三第一学期期初考试@#@地理参考答案@#@ABBDAABCBCBDBBACDDBCBDDACCABBC@#@BBBBBAABBA@#@41、
(1)反气旋 低气压 逆辐合@#@
(2)①④@#@ (3)甲 甲处受高气压控制(盛行下沉气流,天气晴朗) @#@(4)丙 丙处等压线密集水平气压梯度力大@#@42、
(1)谷地(鞍部、山谷) 山岭 @#@背斜 断层 @#@
(2)背斜顶部受张力,容易被侵蚀成为谷地。
@#@@#@ (3)岩层年龄:
@#@中心岩层新,两翼岩层老;@#@岩层弯曲形态:
@#@岩层向下弯曲。
@#@ @#@(4)甲 @#@(5)乙地为向斜,向斜是雨水和地下水的汇集区,隧道易变成水道;@#@@#@丙地为断层,断层地带搞大型工程易诱发地质活动,产生地震、滑坡、渗漏等,造成建筑物塌陷。
@#@@#@43、
(1) @#@地势落差大 @#@ @#@ @#@ @#@径流量大 @#@ @#@ @#@ @#@@#@
(2)水土流失 @#@@#@(3)缓解能源短缺 @#@ @#@ @#@ @#@优化能源结构,改善大气质量 @#@@#@(4) @#@资源丰富 @#@ @#@ @#@ @#@@#@促进产业优化升级(促进经济发展,增加就业机会;@#@促进工业化、城市化) @#@ @#@ @#@@#@可能会引发新的环境污染问题@#@";i:
17;s:
5904:
"最新区域经理年度个人工作总结与计划工作总结文档五篇@#@年度工作总结范文最新@#@以下是我们为大家整理的关于年度工作总结范文的文章,希望大家能够喜欢!
@#@@#@@#@工作情况@#@@#@督办落实工作:
@#@一是对党委会上安排的重点工作制定了督办落实表,二是日常工作中结合半年工作总结制定了各部室工作进展和疑难表,三是认真完成了市委市政府重点工程会议、范书记调研讲话、市委十一届十四次全会、市委常委会、市政府全会、襄发201923号文件、鄂发201919号文件、襄办发201955号文件、保障房建设、市第十二次党代会等落实情况汇报。
@#@@#@@#@文字材料工作:
@#@完成了10篇党委会会议记录、7篇会议座谈录音整理稿;@#@完成了评先表彰方案、半年工作总结、年度工作总结、工作要点、部室目标责任状、统计简报分析、招商引资总结等年度例行工作汇报;@#@完成了政府年度目标考核、档案省特级、保密先进单位、青年文明号、优秀志愿者组织、人才工作先进单位、文明单位等考核迎检工作的相关文字材料;@#@完成了五年工作总结、范书记别市长工作汇报、公司“十二五”项目库、投融资情况汇报、县域经济会交流材料、国企党建材料、城市基础设施建设服务体系、优化经济发展环境专题报告、扩大融资引大项目推进四个襄阳建设、襄阳市旧城改造及城市综合体项目建设情况汇报以及“1+X”系列等大型文字材料;@#@完成了二期债券发行所需各项文字材料;@#@完成了三万活动、集训活动、还建房等消息报道十余篇,工作简报四期;@#@完成了各类会议议程、主持词、领导讲话、单项工作汇报等文字材料70余篇。
@#@@#@@#@活动组织工作:
@#@一是策划组织并主持了2019年迎新晚会;@#@二是组织了2019年收心会;@#@三是组织实施了谷城学习集训活动;@#@四是策划了重组五周年庆典活动;@#@五是联系组织了“四个襄阳”宣讲活动;@#@六是组织了佳海签约仪式;@#@七是起草了“11襄投债”发行庆典仪式方案。
@#@@#@@#@综合部内务工作:
@#@一是重新起草了公文处理办法;@#@二是坚持了综合部工作简报;@#@三是协助赵部对综合部的分工、工作任务等进行梳理和安排。
@#@@#@@#@学习情况@#@@#@一是把每次参会都当做是一次老师的专题讲课,认真听、专心记,重点的下来后更是将录音整理出来反复看,一年来累计参与了年度部室座谈会、绩效考核座谈会、投融资座谈会、发债讨论会、河南栾川、安徽阜阳、鄂州城投、招商局集团考察、2019年度城建计划拟定、2019年度城建计划拟定、襄阳市区规划建设汇报会、党代会调研、政府“1+n”模式专题常务会、政府办公会旧城改造及城市综合体建设汇报、开行金融座谈会、银企合作座谈会、世行项目评估会、世行贷款中期考察会、中建座谈会、中交签约会、开行座谈会等多次会谈,对公司各部室的业务、城投的发展、襄阳的市情以及外地、外单位的运作模式和先进经验有了更深的理解和更广的认识,特别是聆听高层讲话,让我个人对有关工作和情况的认识更是提高了一个层次。
@#@@#@@#@二是珍惜每一次外出学习考察机会。
@#@今年4月下旬,经公司领导批准,我和赵部长前往厦门参与了人力资源管理专题学习,让我重新拾掇出大学时代考取人力资源管理师时的书籍资料认真回味思索,将我个人对公司人力资源管理工作的想法和思路向绩效考核办做了汇报并贯穿在公司集团化改革新设部室的设想中。
@#@今年11月下旬,陈总带领连我在内的四名同志参与了重庆城投年会,进入公司以来,每年的城投会,党委都安排我参加,是对年轻同志的关爱和培养,更是对我们的期望和提携,我不敢辜负,会议上一是听取当年最热门的形势分析,二是听取发言单位的经验介绍,三是走访了解当地城市的建设,四是在活动中结交行业内的同行专家,虽然每年会议的时间很短暂,接触的人和事也有限,但是我相信只要做好积累,总会有一天摸清全国城投的家底为襄阳建投建好数据储备库。
@#@@#@@#@三是把握党委把我送出去脱岗学习的机会,在市委政研室扎扎实实地学习了两个月,深刻地思考了岗位与爱好、学习与应用、技巧与规律的关系,加强了对全市情况的学习了解,深化了对文字工作的认识,更重要的是时常就工作中遇到的问题向市委政研室的“师傅”、“师兄”、“师姐”们请教学习,有了高人的指点,自己的工作质量也大有提升。
@#@@#@@#@四是坚持在工作中学、向身边人学、从点滴中学。
@#@始终牢记保持对不同工作岗位的好奇心和求知欲,积极向党委班子成员学习靠拢,向胡总、贾瑞、尤伟、梁炳成等专业人士请教学习,向各部室具体经办人员学习咨询;@#@时刻提醒自己关注网络、报刊、会议材料中的时事讯息和精神;@#@注重在工作中反思、积累;@#@注重多看好书、多交高人、多思小事。
@#@@#@@#@思想情况@#@@#@今年9月,我被党委任命为综合部副部长,作为一名不在编的年轻女干部能被党委启用,荣幸与不安在我心里激烈交撞。
@#@一方面是党委和同事们对我工作的认可让我倍感欣慰和值得,另一方面我也曾顾虑自己的年轻、经验、能力和性格能不能胜任,会不会辜负@#@@#@@#@@#@1@#@@#@2@#@@#@@#@@#@@#@";i:
18;s:
21608:
"PCB元器件封装建库规范范本@#@XXXXXXXXXXXXX质量管理体系文件@#@编号:
@#@CZ-DP-7.3-03@#@ @#@@#@PCB元器件封装建库规范@#@第A 版@#@ @#@@#@受控状态:
@#@@#@发放号:
@#@@#@ @#@@#@2006-11-13发布 2006-11-13实施@#@XXXXXXXXXXX发布@#@ @#@@#@1编写目的@#@制定本规范的目的在于统一元器件PCB库的名称以及建库规则,以便于元器件库的维护与管理。
@#@@#@2适用范围@#@本规范的适用条件是采用焊接方式固定在电路板上的优选元器件,以CADENCEALLEGRO作为PCB建库平台。
@#@@#@3专用元器件库@#@3.1PCB工艺边导电条@#@3.2单板贴片光学定位(Mark)点@#@3.3单板安装定位孔@#@4封装焊盘建库规范@#@4.1焊盘命名规则@#@4.1.1器件表贴矩型焊盘:
@#@@#@SMD[Length]_[Width],如下图所示。
@#@@#@通常用在SOP/SOJ/ QFP/PLCC等表贴器件中。
@#@@#@ﻩ 如:
@#@SMD32_30@#@4.1.2器件表贴方型焊盘:
@#@@#@SMD[Width]SQ,如下图所示。
@#@@#@ﻩ 如:
@#@SMD32SQ@#@4.1.3器件表贴圆型焊盘:
@#@@#@ball[D],如下图所示。
@#@通常用在BGA封装中。
@#@@#@ @#@如:
@#@ball20@#@4.1.4器件圆形通孔方型焊盘:
@#@@#@PAD[D_out]SQ[d_inn]D/U;@#@D代表金属化过孔,U代表非金属化过孔。
@#@@#@如:
@#@PAD45SQ20D,指金属化过孔。
@#@PAD45SQ20U,指非金属化过孔。
@#@@#@4.1.5器件圆形通孔圆型焊盘:
@#@@#@PAD[D_out]CIR[d_inn]D/U ;@#@ D代表非金属化过孔,U 代表非金属化过孔。
@#@@#@如:
@#@PAD45CIR20D,指金属化过孔。
@#@PAD45CIR20U,指非金属化过孔。
@#@@#@4.1.6散热焊盘@#@ 一般命名与PAD命名相同,以便查找。
@#@如PAD45CIR20D@#@4.1.7过孔:
@#@@#@via[d_dirll]_[description],description可以是下述描述:
@#@@#@GEN:
@#@普通过孔;@#@命名规则:
@#@via*_bga其中*代表过孔直径@#@Via05_BGA:
@#@ 0.5mm BGA的专用过孔;@#@@#@Via08_BGA:
@#@0.8mmBGA的专用过孔;@#@@#@Via10_BGA:
@#@1.0mmBGA的专用过孔;@#@@#@Via127_BGA:
@#@1.27mmBGA的专用过孔;@#@@#@[Lm]_[Ln]命名:
@#@埋/盲孔,Lm/Ln指从第m层到第n层的盲孔,n>@#@ m。
@#@@#@ﻩ如:
@#@via10_gen,via10_bga,via10_1_4等。
@#@@#@4.2焊盘制作规范@#@焊盘的制作应根据器件厂商提供的器件手册。
@#@但对于IC器件,由于厂商手册一般只给出了器件实际引脚及外形尺寸,而焊盘等尺寸并未给出。
@#@在设计焊盘时应考虑实际焊接时的可焊性、焊接强度等因素,对焊盘进行适当扩增得到焊盘CAD制作尺寸。
@#@一般来说QFP、SOP、PLCC、SOJ等表贴封装的焊盘CAD外形在实际尺寸基础上适当扩增;@#@BGA封装的焊盘CAD外形在实际尺寸基础上适当缩小;@#@焊接式直插器件的焊盘CAD孔径在实际尺寸基础上扩增,但压接式直插器件焊盘不扩增。
@#@@#@焊盘分为钻孔焊盘与表贴焊盘组成;@#@@#@表贴焊盘由top、soldermask_top、pastemask_top组成;@#@@#@via:
@#@@#@普通via:
@#@top、bottom、defaultinternal、soldermask_top、soldermask_bottom;@#@@#@BGA via:
@#@top、bottom、defaultinternal、soldermask_bottom;@#@@#@盲孔:
@#@视具体情况。
@#@@#@4.2.1用于表贴IC器件的矩型焊盘@#@这类焊盘通常用于QFP/SOP/PLCC/SOJ等封装形式的IC管脚上。
@#@@#@制作CAD外形时,焊盘尺寸需要适当扩增,如下图所示:
@#@@#@4.2.1.1高密度封装IC(S_pin_pin(即pin间距)<@#@=0.7mm):
@#@@#@4.2.1.2宽度:
@#@在标称尺寸的基础上,沿宽度方向扩增,即W_cad- W_实际=0.025~0.05mm,但应保证两个pin的边到边的距离大于0.23mm。
@#@@#@4.2.1.2.1长度:
@#@在标称尺寸的基础上,向外扩增L_delt_outer=0.3~0.5mm,向内扩增L_delt_inner =0.2~0.3mm,具体扩增量大小视封装外形尺寸误差决定。
@#@@#@4.2.1.3低密度封装IC(pin间距>@#@=0.7mm)@#@4.2.1.3.1宽度:
@#@在标称尺寸的基础上,沿宽度方向扩增W_cad-W_实际0.05~0.1mm,但应保证两个pin的边到边的距离大于0.23mm。
@#@@#@4.2.1.3.2长度:
@#@在标称尺寸的基础上,向外扩增L_delt_outer=0.3~0.5mm,向内扩增L_delt_inner=0.2~0.3mm,具体扩增量大小视封装外形尺寸误差决定。
@#@@#@4.2.1.4焊盘层结构定义如下图所示@#@4.2.1.4.1Parameters@#@4.2.1.4.1.1Type:
@#@@#@Through,即过孔类。
@#@Blind/buried理盲孔类。
@#@single,即表贴类。
@#@@#@4.2.1.4.1.2Internallayers:
@#@@#@optional,虽然对于表贴焊盘不存在内层,但设计时该选项仍然与通孔一致。
@#@@#@4.2.1.4.1.3Drill/slot hole:
@#@@#@只需修改Drilldiameter项的值为0,表明没有钻孔。
@#@@#@4.2.1.4.2Layers@#@作为焊盘,为了保证焊接,必须开阻焊窗以露出铜皮,但阻焊窗大小应适当,一般比焊盘的尺寸大5mil为佳;@#@对于表贴焊盘,只在TOP层开阻焊窗。
@#@@#@如果是用于器件的焊盘,必须开钢网,以满足贴片工艺需求;@#@只需在TOP层开钢网。
@#@@#@4.2.1.4.2.1TOP@#@4.2.1.4.2.1.1RegularPad@#@Geometry:
@#@Rectangle(矩形焊盘)/ Square(方形焊盘)。
@#@@#@几何尺寸:
@#@与名称一致。
@#@@#@4.2.1.4.2.1.2ThermalRelief@#@Geometry:
@#@不需要热焊盘,因此该项为Null。
@#@@#@4.2.1.4.2.1.3AntiPad@#@Geometry:
@#@不需要反焊盘,因此该项为Null。
@#@@#@4.2.1.4.2.2SOLDERMASK_TOP@#@4.2.1.4.2.2.1RegularPad@#@Geometry:
@#@Rectangle(矩形焊盘)/Square(方形焊盘)。
@#@@#@几何尺寸:
@#@在TOP层几何尺寸的基础上,长和宽各增加5mil。
@#@@#@4.2.1.4.2.2.2ThermalRelief@#@Geometry:
@#@不需要热焊盘,因此该项为Null。
@#@@#@4.2.1.4.2.2.3AntiPad@#@Geometry:
@#@不需要反焊盘,因此该项为Null。
@#@@#@4.2.1.4.2.3PASTEMASK_TOPPad@#@4.2.1.4.2.3.1Regular Pad@#@Geometry:
@#@Rectangle(矩形焊盘)/Square(方形焊盘)。
@#@@#@几何尺寸:
@#@与TOP层一致。
@#@@#@4.2.1.4.2.3.2ThermalRelief@#@Geometry:
@#@不需要热焊盘,因此该项为Null。
@#@@#@4.2.1.4.2.3.3AntiPad@#@Geometry:
@#@不需要热焊盘,因此该项为Null。
@#@@#@4.2.2用于分立器件的矩(方)形焊盘:
@#@@#@这类焊盘通常用于表贴电阻/电容/电感等的管脚上。
@#@制作此类焊盘的CAD外形时,CAD尺寸应比实际尺寸适当扩增。
@#@焊盘CAD尺寸定义如下图:
@#@@#@W_cad比实际尺寸应大5~10mil,L_cad比实际尺寸应大10~20mil。
@#@但即使是同类封装,电阻、电容/电感的外形尺寸也不一定相同,即电阻的焊盘应该设计得宽一些,电容/电感的焊盘应设计得窄一些。
@#@具体尺寸参见器件资料推荐的封装设计。
@#@@#@焊盘层结构定义与 4.2.1 相同。
@#@@#@4.2.3器件表贴圆型焊盘@#@这类焊盘通常用于BGA封装的管脚上。
@#@制作CAD外形时,应该比实际管脚的外径适当缩小。
@#@下面给出常用BGA封装的焊盘CAD尺寸:
@#@@#@(1)0.8mmBGA:
@#@CAD直径0.4 mm(16mil);@#@@#@(2)1.0mmBGA:
@#@CAD直径0.5mm (20mil);@#@@#@(3)1.27mmBGA:
@#@CAD直径0.55mm(22mil);@#@@#@焊盘层结构定义基本与4.2.1相同,只需在Padstacklayer/regular项中的geometry子项设置为Circle。
@#@@#@4.2.4器件通孔方型/圆型焊盘@#@插装器件通常使用这类焊盘,其第一个管脚通常使用方型焊盘以作标识。
@#@制作CAD外形时,一方面要选择合适的钻孔(成品孔)尺寸、另一方面要选择合适的焊盘尺寸。
@#@钻孔尺寸在标称值的基础上一般要适当扩增以保证既能方便地将器件插入、又不至于因公差太大致使器件松动;@#@但是对于压接件,钻孔(成品孔)尺寸与实际尺寸一致,以保证没有焊接的情况下器件管脚与钻孔孔壁接触良好以保证导通性能。
@#@@#@焊盘层结构定义:
@#@@#@4.2.4.1Parameters@#@4.2.4.1.1Type:
@#@through,即通孔。
@#@@#@4.2.4.1.2Internal layers:
@#@optional,此项保证通孔随着单板叠层自适应调整焊盘内层。
@#@@#@4.2.4.1.3Multiple:
@#@不选。
@#@@#@4.2.4.1.4Units:
@#@mils@#@4.2.4.1.5Drill/slot hole:
@#@@#@4.2.4.1.5.1holetype:
@#@@#@circledrill(虽然焊盘是方型的,但钻孔只有圆型)。
@#@@#@4.2.4.1.5.2Plating@#@Plated(有电气连接关系的通孔);@#@@#@或 UnPlated(没有电气连接关系的通孔)。
@#@@#@4.2.4.1.5.3Drill Diameter@#@成品孔径尺寸。
@#@@#@4.2.4.1.5.3.1普通插装器件方型焊盘@#@成品孔径比实际管脚直径大0.1~0.15mm,推荐0.1mm(约4MIL)。
@#@不作特殊公差要求。
@#@@#@4.2.4.1.5.3.2压接件方型焊盘@#@成品孔径与实际管脚直径一致。
@#@公差要求:
@#@-0.05~0.05mm。
@#@@#@4.2.4.1.5.4Tolerence/offset@#@各项值均为0。
@#@@#@4.2.4.1.6Drill/slotsymbol@#@4.2.4.1.6.1Figure/characters@#@见附表1。
@#@@#@4.2.4.1.6.2Height/ Width@#@该项值设置为50/50(mils)。
@#@@#@4.2.4.2Layers@#@4.2.4.2.1RegularPad @#@4.2.4.2.1.1Gemoetry:
@#@@#@suqare/rectangle:
@#@方形焊盘。
@#@@#@circule:
@#@圆形焊盘。
@#@@#@4.2.4.2.1.2Width/Height:
@#@该项值为焊盘直径。
@#@@#@4.2.4.2.2ThermalRelief@#@4.2.4.2.2.1Gemoetry:
@#@Flash@#@4.2.4.2.2.2Flash:
@#@选择相应的flash@#@Flash几何尺寸:
@#@见附表2。
@#@@#@4.2.4.2.3AntiPad@#@4.2.4.2.3.1gemoetr:
@#@与4.2.4.2.1一致。
@#@@#@4.2.4.2.3.2Width/Height:
@#@@#@普通孔:
@#@(width– drill )/2= 10mils;@#@@#@48V电源区域/PE所用:
@#@(width– drill)/ 2 =40mils(内层) 或80mils(表层)。
@#@@#@4.2.5过孔焊盘@#@这类焊盘通常用于PCB上的导通过孔上。
@#@制作CAD外形时,需要选择合适的焊盘。
@#@其层结构设计与4.2.4相同。
@#@目前研究院开发的通信系统产品的PCB板上推荐使用的过孔有如下几种:
@#@@#@Viatype@#@diameter(mils)@#@pad(mils)@#@anti-pad(mils)@#@description@#@Via16_gen@#@16@#@32@#@48@#@一般RF PCB上,用于接地或其它特殊需要场合@#@Via12_gen@#@12@#@25@#@37@#@单板密度不大时推荐使用@#@Via10_gen/bga@#@10@#@22/20@#@34/32@#@单板密度较高时推荐使用@#@Via08_bga@#@8@#@18@#@30@#@0.8mmBGA中使用@#@4.2.6其它@#@本规范中没有描述的其它器件用到的焊盘,依照器件手册资料的数据及焊装工艺要求进行设计。
@#@@#@5PCB封装库设计规范@#@5.1封装命名规范@#@5.1.1贴装器件@#@5.1.1.1贴装电容(不含贴装钽电解电容)SC@#@【贴装电容】+【器件尺寸】@#@如:
@#@SC0603@#@说明:
@#@器件尺寸单位——inch,0603——0.06(inch)x 0.03(inch)@#@5.1.1.2贴装二极管(不含发光二极管)SD@#@【贴装二极管】+【器件尺寸】@#@如:
@#@SD0805@#@说明:
@#@器件尺寸单位——inch,0805——0.08(inch)x 0.05(inch)@#@ 如为极性则要求有极性标识符“+”@#@5.1.1.3贴装发光二极管 LED@#@【贴装二极管】+【器件尺寸】@#@如:
@#@LED1206@#@说明:
@#@器件尺寸单位——inch,1206——0.12(inch)x0.06(inch)@#@ 如为极性则要求有极性标识符“+”@#@5.1.1.4贴装电阻SR@#@【贴装电阻】+【器件尺寸】@#@如:
@#@SR0603@#@说明:
@#@器件尺寸单位——inch,0603——0.06(inch)x0.03(inch)@#@5.1.1.5贴装电感SL@#@【贴装电感】+【器件尺寸】@#@如:
@#@SL0603@#@说明:
@#@器件尺寸单位——inch,0603——0.06(inch)x0.03(inch)@#@5.1.1.6贴装钽电容STC@#@【贴装钽电容】+【器件尺寸】@#@如:
@#@STC3216@#@说明:
@#@器件尺寸单位——mm,3216——3.2(mm)x3.2(mm)@#@5.1.1.7贴装功率电感SPL@#@【贴装功率电感】+【器件尺寸】@#@如:
@#@SPL200x200@#@说明:
@#@器件尺寸单位——mil,200x200——200milx200mil@#@5.1.1.8贴装滤波器 SFLT@#@【贴装滤波器】+【PIN数-】+【器件尺寸(或型号)】+【补充描述(大写字母)】@#@如:
@#@SFLT10-900x600A@#@说明:
@#@器件尺寸单位——mil,900x600——900milx600mil;@#@如果器件外形为规则形状,则该项为器件尺寸,否则该描述项为型号;@#@@#@5.1.1.9小外形晶体管SOT@#@【小外形晶体管】+【封装代号-】+【管脚数】+【-补充描述(大写字母)】@#@如:
@#@SOT23-3/SOT23-3A@#@5.1.1.10塑封有引线载体(插座)PLCC/JPLCC@#@【塑封有引线芯片载体(插座)】+【PIN数-】+【PIN间距】+【器件特征(S-方形、R-长方形)】+【-补充描述(大写字母)】@#@如:
@#@PLCC(JPLCC)20-50S/PLCC(JPLCC)20-50S-A@#@说明:
@#@PIN间距单位——mil,50——50mil。
@#@@#@5.1.1.11栅阵列BGA@#@【球栅阵列】+【PIN数-】+【PIN间距-】+【阵列大小】+【-补充描述(大写字母)】@#@如:
@#@BGA117-10-1111/BGA117-10-1111A@#@说明:
@#@PIN间距单位——mm,10——1.0mm;@#@阵列大写1111——11x11方阵。
@#@@#@ﻩ05——0.5mm、06——0.6mm、08——0.8mm、10——1.0mm、127——1.27mm@#@5.1.1.12四方扁平封装ICQFP@#@【四方扁平封装IC】+【PIN数】+【分类-】+【PIN间距-】+【器件尺寸】+【-管脚排列分类(L-left、M-mid)】@#@如:
@#@QFP44A-080-1010L@#@说明:
@#@PIN间距单位——mm,1010——10mmx10mm@#@5.1.1.13J引线小外形封装SOJ@#@【四方扁平封装IC】+【PIN数】+【PIN间距-】+【实体体宽】+【-补充描述(大写字母)】@#@如:
@#@SOJ26-50-300 / SOJ26-50-300A@#@说明:
@#@PIN间距单位——mil,实体体宽单位——mil;@#@50——50mil,300——300mil。
@#@@#@5.1.1.14小外形封装ICSOP@#@【小外形封装IC】+【PIN数】+【PIN间距-】+【实体体宽】+【-补充描述(大写字母)】@#@如:
@#@SOP20-25-150/SOP20-25-150A@#@说明:
@#@PIN间距单位——mil,实体体宽单位——mil;@#@25——25mil,150——150mil。
@#@@#@5.1.1.15贴装电源模块SPW@#@【贴装电源-】+【PIN数-】+【厂家-】+【产品系列号】+【补充描述(大写字母)】@#@如:
@#@SPW5-TYCO-AXH010A0M9/PW6-MBC-AXH010A0M9A@#@5.1.1.16贴装变压器(非标准封装) STFM@#@【贴装变压器】+【PIN数-】+【PIN间距-】+【排间距】+【-补充描述(大写字母)】@#@如:
@#@STFM20-100-400@#@说明:
@#@器件尺寸单位——mil@#@5.1.1.17贴装功分器(非标准封装)SPD@#@【贴装变压器】+【路数-】+【器件尺寸】+【-补充描述(大写字母)】@#@如:
@#@SPD4-490x970@#@说明:
@#@器件尺寸单位——mil,490x970——490mil x 970mil@#@5.1.1.18其它@#@本规范中没有描述的其它器件,依照器件手册资料的描述进行命名。
@#@@#@5.1.2插装元器件@#@5.1.2.1插装无极性电容器CAP@#@【插装无极性电容】+【PIN数-】+【PIN间距-】+【-补充描述(大写字母)】@#@如:
@#@CAP2-200/ CAP2-200A@#@说明:
@#@PIN间距单位——mil,200——200mil。
@#@@#@5.1.2.2插装有极性柱状电容器CAPC@#@【插装有极性电容】+【PIN数-】+【PIN间距-】+【圆柱直径】+【-补充描述(大写字母)】@#@如:
@#@CAPC2-200-400 /CAPC2-200-400A@#@说明:
@#@PIN间距、圆柱直径单位——mil,200——200mil,400——400mil;@#@@#@ 要求有极性标识符“+”@#@5.1.2.3插装有极性方形电容器CAPR@#@【插装有极性方形电容】+【PIN数-】+【PIN间距】+【-补充描述(大写字母)】@#@如:
@#@CAPR2-200/CAPR2-200A@#@说明:
@#@PIN间距、圆柱直径单位——mil,200——200mil;@#@@#@ 要求有极性标识符“+”@#@5.1.2.4插装二极管DIODE@#@【插装二极管】+【PIN数-】+【PIN间距-】+【-补充描述(大写字母)】@#@如:
@#@DIODE2-400 / DIODE2-400A@#@说明:
@#@PIN间距——mil,400——400mil;@#@@#@ 如为极性则要求有极性标识符“+”@#@5.1.2.5插装电感器IND@#@【插装电感】+【形状】+【PIN数-】+【PIN间距-】+【-补充描述(大写字母)】@#@如:
@#@INDC2-400/INDC2-400A@#@说明:
@#@PIN间距——mil,400——400mil;@#@@#@ 形状——C/R,C——环形,R——柱形@#@5.1.2.6插装电阻器RES@#@【插装电阻】+【PIN数-】+【PIN间距-】+【-补充描述(大写字母)】@#@如:
@#@RES2-400/RES2-400A@#@说明:
@#@PIN间距——mil, 400——400mil;@#@@#@ 形状——C/R,C——环形或柱形@#@5.1.2.7插装电位器POT@#@【插装电位器】+【PIN数-】+【PIN间距-】+【-补充描述(大写字母)】@#@如:
@#@POT3-100/POT3-100A@#@说明:
@#@PIN间距——mil,200——200mil,400——400mil;@#@@#@ @#@5.1.2.8插装振荡器OSC@#@【插装振荡器】+【PIN数-】+【器件尺寸(投影)】+【-补充描述(大写字母)】@#@如:
@#@OSC4-2020/OSC4-2020A@#@说明:
@#@器件尺寸单位——mm,2020——20mmx20mm;@#@ @#@5.1.2.9插装滤波器FLT@#@【插装滤波器】+【PIN数-】+【器件尺寸(投影)】+【-补充描述(大写字母)】@#@如:
@#@FLT2-1000X1000/ FLT2-1000X1000A@#@说明:
@#@PIN间距——mil,1000X1000——1000mil(长)x1000mil(宽);@#@@#@5.1.2.10插装变压器TFM@#@【插装变压器】+【PIN数-】+【PIN间距-】+【排间距】+【-补充描述(大写字母)】@#@如:
@#@TFM10-100-400/ TFM10-100-400A@#@说明:
@#@器件尺寸单位——mil,100——100mil,400——400mil;@#@@#@5.1.2.11插装继电器RLY@#@【插装继电器】+【PIN数-】+【PIN间距-】+【排间距】+【-补充描述(大写字母)】@#@如:
@#@RLY8-100-300/RLY8-100-300A@#@说明:
@#@器件尺寸单位——mil,100——100mil,400——400mil;@#@@#@5.1.2.12单列直插封装(不含厚膜)SIP@#@【单列直插封装】+【PIN数-】+【PIN间距-】+【-补充描述(大写字母)】@#@如:
@#@SIP12-100/SIP12-100A@#@说明:
@#@器件尺寸单位——mil,100——100mil,400——400mil;@#@@#@5.1.2.13插装晶体管TO@#@【插装晶体管】+【封装代号-】+【PIN数-】+【-补充描述(大写字母)】@#@如:
@#@TO92-3/TO92-3A@#@5.1.2.14双列直插封装(不含厚膜)DIP@#@【双列直插封装】+【PIN数-】+【PIN间距-】+【器件尺寸】+【-补充描述(大写字母)】@#@如:
@#@DIP20-100-300/DIP20-100-300A@#@说明:
@#@器件尺寸单位——mil,100——100mil,400 ——400mil;@#@@#@5.1.2.15插装传感器SEN@#@【插装传感器】+【PIN数-】+【PIN间距-】+【-补充描述(大写字母)】@#@如:
@#@SEN3-100/SEN3-100A@#@说明:
@#@器件尺寸单位——mil,100——100mil,400——400mil;@#@@#@5.1.2.16插装电源模块 PW@#@【插装电源-】+【PIN数-】+【厂家-】+【产品系列号】+【补充描述(大写字母)】@#@如:
@#@PW6-MBC-HG30D/PW6-MBC-HG30DA@#@5.1.2.17其它@#@本规范中没有描述的其它器件,依照器件手册资料的描述进行命名。
@#@@#@5.1.3连接器@#@5.1.3.1D型电缆连接器DB@#@【封装类型】+【PIN数-】+【排数】+【管脚类型】+【器件类型】@#@如:
@#@DB37-2RM@#@说明:
@#@管脚类型——R-弯脚、T-直角@#@ 器件类型——M-Male(公)、F-Female(母)@#@5.1.3.2扁平电缆连接器 IDC@#@【封装类型】+【PIN数-】+【插座类型】+【管脚类型】+【器件类型】+【定位槽数】@#@如:
@#@IDC20-DRM0@#@说明:
@#@管脚类型——R-弯脚、T-直角、O-牛头插座、D-双直插座@#@器件类型——M-Male(公)、F-Female(母)@#@5.1.3.3数据通信口插座MJ@#@【封装类型】+【槽位数-】+【组合数】+【屏蔽方式】+【插入方式】+【指示灯】+【屏蔽脚位置】+【焊接脚排列结构】+【补充描述(大写字母)】@#@如:
@#@MJ8-0204SRL-FZ /MJ8-0204SRL-FZA@#@说明:
@#@组合数——n排xmpin,0204——2排x4pin@#@屏蔽方式——S-带屏蔽,缺省则无";i:
19;s:
25185:
"结题总结汇报@#@富县沙梁中学课题研究工作总结@#@课改模式下学生学习方式转变的实践研究课题名称:
@#@@#@阶段研究主题:
@#@如何培养学生主动合作、高效探究的能力@#@JZA2048课题编号:
@#@@#@常胜泽人:
@#@负责@#@曹晓东于少堂学术指导:
@#@党林忠@#@于少堂人:
@#@执笔@#@2013.7-----2015.8起止时间:
@#@@#@富县沙梁中学所在单位:
@#@@#@@#@月威海开题大会后,我校课题组积极研讨,精年7自2013心准备,在南征老师的指导下,扎扎实实开展课题研究工作,现就课题开展情况总结如下:
@#@@#@月)1月---------2014年7第一阶段(2013年@#@一、制定了《富县沙梁初级中学课题实施方案》@#@、确立了课题研究的基本内容、目标:
@#@1@#@依据课程标准、学生实际水平和发展需要编制学研稿,明确学习目标,梳理知识体系,以教法转变带动学法转变,加强学习促进学生提供检测学习效果的分层习题,方法和学习策略指导,探究”学习策略的德育管理模式。
@#@探索“自主、合作、有效学习,@#@、明确了研究的重点、难点:
@#@2@#@
(1)主要领导能深入课堂、深入教师,解决课改研究中教师理念问题。
@#@(重点)@#@
(2)健全评估指导机制:
@#@@#@(3)实施课题带动战略,落实“反思”科研。
@#@@#@(4)课改班级、教师应致力于科学的自主管理机制的建设,营造有利于协作中竞争、竞争中发展的有效管理氛围。
@#@德育处、年级处要做好“大德育”的实施,学校主要领导要主抓主管。
@#@(难点)@#@4、确立了研究思路、研究方法:
@#@@#@研究思路:
@#@@#@
(1)、转变教育观念。
@#@@#@
(2)、对教师的角色定位和教学行为进行探究。
@#@@#@(3)、对学生有效参与课堂活动的方式方法进行研究。
@#@@#@(4)、对新课程教学课堂对学生的影响进行研究。
@#@@#@(5)、对构建新型师生关系进行研究。
@#@@#@研究方法:
@#@@#@以学定教;@#@平等交流;@#@尊重差异;@#@关注生活;@#@循序渐进;@#@@#@二、组织实验教师进行了学习培训@#@1、学习了实施方案和计划,明确了研究的意义和要求。
@#@@#@2、制定了课题研究的相关制度。
@#@@#@3、开学初召开课题组会议,讨论确立了本阶段的研究重点(如何有效进行课前预习)。
@#@@#@4、学习了学校相关教学常规制度(《集体备课制度》、《作业补充要求》、《教学研讨督导制度》、《教学质量分析制度》)规范实验教师常规工作,引导他们在规范的前提下积极创新。
@#@@#@5、以集体学习和个人学习相结合的方式加强个人研修,教科室专门组织学习高效课堂相关资料,为开展研究提供借鉴。
@#@各组均开展了专题研究活动。
@#@@#@三、结合文献学习,进一步修订完善了《实施方案》。
@#@@#@1、按学期确立了子课题研究。
@#@@#@2、增设了“课题沙龙”。
@#@@#@3、加大了德育工作的铺垫。
@#@@#@4、考虑把课题研究资源延伸到骨干教师体系建设之中。
@#@@#@四、开始尝试研究,落实精细化管理。
@#@@#@1、德育处加强班主任培训,先后4次就班级精细化管理进行业务指导,期末进行了自主管理先进集体的评比。
@#@@#@2、各班落实自主管理要求,重点就生活习惯、行为习惯、思维习惯、学习习惯进行教育引导。
@#@目前,各班学生在学习的自觉性、纪律的自我约束、互帮互助上有所改观。
@#@@#@3、实验教师在自己的课堂上积极建立健全自主学习小组,培养学生的合作意识、探究意识,营造自主学习氛围。
@#@并能把研究重点放在“如何高效预习”上。
@#@试验班级的小组学习意识初步形成。
@#@@#@4、暑假我们就制定了可操作性强的实验手册,各教师能把学习研讨、教学观摩、教学反思、个案跟踪有机结合,全方位进行研究。
@#@@#@五、组织了经验交流,研讨困惑。
@#@@#@由于课题研究处于尝试阶段,所以研讨主要本着“聚焦课堂”的原则,以备课组研讨和沙龙两种形式组织,尽量把研讨生活化、常态化。
@#@就本学期研究而言,在“高效预习”上既有意见分歧、又有矛盾发现,还没有形成统一共识。
@#@课@#@六、经验积累@#@1、分阶段实施,任务要明确,过程积累要详实。
@#@有利于阶段小结时总结经验、发现问题。
@#@@#@2、常态化、生活化的交流更容易发现问题、交流经验。
@#@@#@3、课题组要根据不同的研究阶段制定相应的课题手册,有利于课题过程的监控和指导。
@#@@#@4、把南征老师等专家“请进来”,开阔眼界,能够加速研究进程。
@#@@#@5、教师的观念转化是研究的关键。
@#@@#@6、课堂教学改革,自主管理必需先行,否则学生的学习方式改变就是一句空话。
@#@@#@7、研究必须立足实际,不能轻易照搬别人的经验,否则就是浪费时间。
@#@甚至会弄巧成拙。
@#@@#@8、实验教师一要树立态度,二要培养研究能力,否则就会出现下载泛滥、胡拼乱凑,毫无结果而言。
@#@@#@七、存在问题@#@1、大德育观念落实不到位,学生自主管理能力欠缺,导致小组合作、探究还只是流于形式。
@#@@#@、教师主动研究的力度跟不上,大部分只是按课题组要求2.@#@按部就班,缺乏创新意识。
@#@@#@3、就本学期研究的“如何高效预习”现在研究的东西还比较凌乱,有待于后期进行深层次研讨、梳理,形成经验材料后,予以实践验证。
@#@@#@4、建立长效学习激励评价目前仍是一个值得探讨的难题。
@#@@#@5、我校教师工作压力大、任务重,研究精力、研讨时间的保证上还需思考、商榷。
@#@@#@6、我校住宿学生多,家长在预习监督辅导上欠缺。
@#@@#@7、使用学研稿提前预习时,照抄现象比较严重(抄别人的、抄资料)。
@#@@#@第二阶段:
@#@(2014年2月----2015年3月)@#@一、对上学期研究的子课题进行了总结交流。
@#@@#@1、要求实验教师整理试验资料,提炼精华,形成论文。
@#@@#@我校课题组的试验资料主要以实验手册为主,有教师在集体组织和自学中的学习,有针对性的观摩、有个案分析、有阶段小结,这些资料为教师对资课题研究的提炼提供了充足的依据,增强了论文的时效性和可行性。
@#@@#@2、2014年3月4日在延安市课题研讨会上做了经验交流,课题研究的思路做法受到了兄弟学校的一直关注。
@#@@#@3、2014年3月10日召开了研讨交流会议,效果显著。
@#@@#@2014年3月10日下午,黄陵县店头中心小学教学副校长、教导主任、教科室主任等一行五人参加了富县沙梁中学教育部十二五规划课题《教学多元化与素质教育》课题阶段研讨会。
@#@会议在沙梁中学多功能大厅举行,由沙梁中学教学副校长、课题学术负责人于少堂同志主持,薛亚丽、张秀荣、赵瑞亮等12位实验教师就课题研究第一阶段子课题“如何培养学生高效预习的能力和习惯”的研究情况作了汇报交流。
@#@分析了学生的预习现状、阐述了自己所采取的有效措施、归纳了自己总结的经验、提出了目前存在的困惑以及今后准备努力的方向,德育处雷成主任就班级自主管理的经验也与兄弟学校做了交流,于校长对第一阶段的研究情况作了总结,肯定了实验教师的工作,强调了存在问题,@#@店头小学的代并对后期研究工作作了安排,提出了希望和建议,整个会议在热交流了自己的做法和经验。
@#@表也谈了参会的体会,烈有序中进行,各中心发言人准备充分,内容真实,各备课组成员积极补充,各抒己见,所有参会人均感到受益匪浅,达到了交流、探讨、学习、进步的目的。
@#@@#@,教导处一一整理后,已在全校范围、形成了《成果汇编》4内学习推广。
@#@@#@5、参加了课题组在江西新建县召开的课题研讨会,于少堂在校长论坛上做了交流,获得一等奖,学校被评为优秀实验学校。
@#@@#@6、存在问题:
@#@@#@
(1)没有养成自觉预习习惯。
@#@@#@有的学生课前虽然也预习,但只是把课前预习当成是被动地完成老师布置的任务,走马观花,匆忙完成,没有养成良好自觉的预习习惯。
@#@就简单地看看和随意画画,自己却不愿动脑思考。
@#@结果在课堂上抓不住重点,精力分配不合理,学习困难重重,逐渐对预习失去了信心。
@#@@#@
(2)不重视课前预习。
@#@@#@有学生以为只要课堂上认真听讲,把老师讲的学会记住就可以了,没有必要提前预习。
@#@这样就不愿动脑筋,长期不加思考和分析地接受一切,就不能充分发挥自身的主动性和积极性。
@#@@#@(3)不讲究预习方法。
@#@@#@预习的内容偏重基础性知识的掌握,对获取知识的过程不够重视。
@#@学生预习结束后,能主动去做课后习题进行自我检查的学生较少,所采用的方法较单一。
@#@更严重的是学生在预习时很少能主动提出问题,而没有疑问的学生是没有创新意识的,他只是被动地接受知识的灌输,学生课前预习的内容及方法还是处于浅层次的。
@#@@#@(4)不善于合作学习。
@#@@#@学生在预习中出现问题不能通过同伴互助合作或小组合作交流来解决问题,总是希望得到教师的帮助或借助于资料。
@#@这种也难形成知被动等待答案的学习方式使同伴之间缺乏合作交流,@#@识的碰撞,更难有思想的火花产生。
@#@@#@(5)不注重预习质量。
@#@@#@有的学生虽然也预习,但预习时很多学生不善于思考,敷衍了事,装模作样,只完成老师布置的简单一点的任务,对各种问题不钻研、不归纳、不总结;@#@有时采取的方法不合理,结果在课堂上抓不住重点,精力分配不合理,学习困难重重,逐渐对预习失去了信心。
@#@@#@(6)师生之间,在情感上是很难沟通(学生很少质疑),学生习惯于教师的教学以传统的启发式教学法为主,鲜有合作交流、探讨的学习方式。
@#@学生主动学习的意识淡薄,学习自主性差、合作探究、交流能力不够;@#@问题意识弱,学科成就感也就不明显。
@#@@#@6、反思了后期研究应注意的几个方面:
@#@@#@
(1)、教师继续转变教育观念。
@#@@#@
(2)、对教师的角色定位和教学行为进一步探究。
@#@@#@(3)、对学生有效参与课堂活动的方式方法进行研究。
@#@@#@(4)、对如何构建新型师生关系进行更深入的研究。
@#@@#@二、组织实验教师进行第二个子课题“如何指导学生科学合作,有效探究”的研究@#@1、商讨实施方案和计划,明确了研究的意义和要求。
@#@@#@2、明确了课题研究的相关要求。
@#@@#@3、教科室专门组织学习高效课堂相关资料,为开展研究提供借鉴。
@#@各组均开展了专题研究活动。
@#@@#@4、德育处加强班主任培训,先后3次就班级精细化管理进行业务指导,期末进行了自主管理先进集体的评比。
@#@目前,各班学生在学习的自觉性、纪律的自我约束、互帮互助上有所改观。
@#@@#@5、实验教师在自己的课堂上积极建立健全自主学习小组,培养学生的合作意识、探究意识,营造自主学习氛围。
@#@并能把研究重点放在“如何指导学生科学合作,有效探究”。
@#@试验班级的小组学习意识初步形成。
@#@@#@6、各备课组组织了小范围的经验交流,研讨困惑。
@#@@#@第三阶段(2015年4月----2015年7月)@#@一、注重学习交流,拓展研究的深度和广度。
@#@@#@1、组织课题沙龙。
@#@为了引导教师把研究研讨常态化、生活化,让教师在放松的心态下把内心最真实的想法说出来,更重要的是培养了教师随时随地进行交流的习惯。
@#@@#@2、教导处搭建反思交流平台。
@#@每学期教导处都要安排三次大型的常规检查,特别是近两年运行基本趋于规范的教学反思都由教导主任亲自检查指导,然后在教职工例会上作细致的总结,把比较典型的反思案例提供给课题组进行研讨,拓展了研究的广度和深度。
@#@@#@3、积极组织专题研讨活动。
@#@课题组规定,以备课组为单位,除参加常规的教互研活动外,每个备课组每个月必须安排一次邀请领导参与的主题研讨活动,围绕某一典型案例或者细节,用新课程的理念及观点进行剖析,在实验过程中不断总结经验,促进课程研究工作的深入开展。
@#@@#@4、积极参与延安市教研室组织的课题经验交流活动,拓宽我们学习借鉴的眼界,帮助我们把更多的优质资源引进来。
@#@@#@5、利用联片研修加强校际之间的互动。
@#@@#@近年来我们联片研修的主题是高效课堂,和我们课题研究的终极目标不谋而合,为此我们每次活动都有意识的安排实验教师上示范课、参加点评互动。
@#@同时,我们还主动申请承办全县有效课堂教学大赛,给教师提供更多的学习观摩的机会,和兄弟学校的骨干教师就实践中出现的问题展开互相对话、深度会谈、专题研讨,实现信息流通、经验共享。
@#@@#@二、边研究、边总结、边推广。
@#@@#@规划课题研究的周期比较长,我校把规划课题与课改相结合,依据课改中形成的“三环节导学模式”(预习—合作探究—展示反馈),实验老师利用假期对研究结果进行了整理,教导处负责整理研究结果,先在校内推广,经过试验验证后进行再研究,目前研究成果基本成熟,并得以运用。
@#@@#@整理结题材料三、1、学习研讨材料汇编。
@#@@#@2、阶段研讨材料汇编。
@#@@#@3、课改沙龙材料汇编@#@4、阶段研究论文汇编@#@5、教学实践反思汇编@#@6、阶段成果论文集@#@7、课题简报@#@8、结题资料汇编@#@研究成效:
@#@@#@经过全体课题组成员的精心努力,新的课改模式已经历了“套模”、“改模”向“创模”发展的根本性改变,形成了具有本校特色的教学模式,达到了教学方式和学习方式转变的目的,主导和主体作用的发挥也更科学。
@#@目前新的课堂模式主要呈现以下几个可以推广的优势。
@#@@#@一、让课前预习任务更加明确@#@课前预习、课堂学习、课后复习,是学习的完整过程。
@#@但不少学生因为各种原因,往往不能提前预习。
@#@以往教师提出预习要求时,往往只是说一声,没有具体要求。
@#@有些学生也想预习,但由于没有掌握正确的预习方法,往往不知道如何预习。
@#@他们只是简单地看一遍课文,标注一下自然段,勾划一下生字词或简单的浏览一下例题,对第二天的学习内容有个大致了解,就算完成了预习任务,没有起到熟悉基础,整理疑难、思考发问的作用。
@#@有了学研稿,学生就有了明确的预习目标和预习方法,就能够对文本做深入地阅读和思考,为课堂重点研讨、展示交流打下良好基础。
@#@学的明确、学的重点突出、学的容量增大、学的更有信心,教师组织课堂也更轻松,有时间拓展深度和广度。
@#@@#@二、让先学后教的教学原则落到实处。
@#@@#@文本是联系学生与知识的纽带,学生正是通过文本与知识进行碰撞,在碰撞的过程中提升学习的能力。
@#@如果学生不去阅读文本,或者只是简单地浏览,不作深入地思考,只是听教师对课文、例题进行分析,这样的自学没有用。
@#@由于不少学生缺乏预习的习惯,课堂上时间有限,不少教师就对课文、例题进行分析讲解。
@#@.@#@这样的讲解能有多大作用,效果是不言而喻的。
@#@@#@使用学研稿,正是把“先学后教”这一教学原则具体化,落实到了具体的学习活动中。
@#@学生在学研稿的引导下,阅读课文,思考问题。
@#@尽管这种思考不够正确,不够深入,不一定真正把握文章的要义或类型题的规律,但只要阅读了,思考了,学生与文本就已经进行了初步沟通,进行了对话与交流。
@#@因为预习本身就是一个个性化的过程,预习的效果取决于学生自身的基础储备。
@#@再经过课堂上同学间的讨论交流,教师的点拨讲解,学生的认识自然就得到了修正与提高。
@#@如此循环反复,学生的探究能力就得到了提高。
@#@反之,如果没有学生的“先学”,只靠教师的“后教”,学生的学习能力能得到提高吗?
@#@@#@三、指导了教师的课堂设计。
@#@@#@在研究过程中,一开始我们强行规范,要求教师在课堂上要做到三讲三不讲:
@#@“讲重难点、讲易错点、讲易混点;@#@学生会了的不讲、自己能学会的不讲、讲了还不会的不讲”。
@#@可是哪些知识点学生易错,哪些是学生通过自学就能学会的,这就要求教师必须准确掌握,不能仅凭教师在教学过程的的教学经验。
@#@教师在课堂上有的完全可以直接让学生展示过程,有的可通过设问为其做铺垫,采用循序渐进的方式,层层递进引导学生展开思考,将问题解决。
@#@另外教师也可根据学生的预习情况,发现更多好的思路。
@#@我们在教学中常发现,对于某些较难理解的问题,有时学生利用自己的思路讲给同学们,反而更容易理解,也更简洁。
@#@因此课前对学研稿的反馈,可以让我们在课堂上该放手时就放手,让每个学生都有机会当小老师,这不仅仅提高了课堂效率,也能极大限度的促进学生学习的积极性。
@#@所以说,使用学研稿,反而加大了教师提前备课的难度,促进了教师的思考和创新,无形中指导了教师的教学设计,真正做到了教学相长。
@#@@#@四、指导了师生的角色定位,主导与主体之间的互动更有效了。
@#@@#@在课改之前,一节课45分钟很难保证学生每分钟都在完全解决什么就成为学生学习的因此重点听什么、投入的认真听讲,@#@重点。
@#@学生重点听什么、探究突破什么?
@#@我们认为,学生在自主预习的基础上,明确了教材的重难点内容,上课听讲探究的重点自然就有了。
@#@这样一来主导和主体之间的互动就更有针对性了,师生角色的定位就更准确了。
@#@@#@五、促进了学生自主、合作、探究学习的兴趣,培养了良好的学习习惯。
@#@@#@采用教案教学时,课堂授课以讲为主,每节课真正给学生自主学习讨论的时间并不多。
@#@推行学研稿,学生可完全借助导学案进行自主独立学习,即可初步学习了解基础知识点、理清课堂知识结构,并可尝试通过自学知识解答问题,进行自我能力训练,并在学研稿上作相关学习笔记。
@#@学生通过自主预习掌握大部分知识点,剩余未掌握的部分则在课堂教学中解决,从而提高教学效率。
@#@@#@实施学研稿,合作学习成了主要的学习形式。
@#@课前学生们预习,准备在课堂上充分展示自我,享受着自学、合作带来的学习成就感;@#@课堂上,学生积极发言,表达自己的想法,享受着学以致用的乐趣;@#@合作交流,互相促进,在合作学习中既能有效地达到教学目标,还能拓展学生思维,培养学生良好的学习习惯。
@#@学生的自学能力、语言表达能力、计算与分析能力、说理能力都有了很大的提高,学生的合作意识也大大增强了。
@#@@#@六、有效地激活了课堂的生命力。
@#@@#@以前的传统教学中,初一大部分动、初二少部分动、初三纯粹不动是教师头疼但又束手无策的普遍问题。
@#@但实施课改以后,这个瓶颈问题得到了初步解决,呈现出了初一动的有激情、初二动的有深度、初三动的有广度。
@#@被动与主动发生了截然相反的变化。
@#@学生的个性得以释放、思维得以拓展,学得轻松、学得自信,课堂上可谓是“百家争鸣、百花齐放”。
@#@教师的工作主要从课内转向课前,由原来的表演者变成了课堂设计的导演者,学生由原来的观赏者变成了表演者,缤纷多彩的生命力中蕴含了更多的快乐与自信。
@#@@#@总之,通过两年来的实践摸索,教师由传统的知识传授者转@#@变为学生学习的组织者。
@#@以前的教学,大多时间都是教师讲学生听,课堂上忽视了学生自主能力的培养。
@#@“学研稿”的使用,使教师的教学观发生了根本性的转变。
@#@课堂上学生积极发言讨论,既促进了学生学习兴趣,又锻炼了学生的语言组织能力。
@#@同时,教师更多的是授予学习方法,引导学生解决问题,归纳方法。
@#@课堂上,不再是老师提问题学生回答,而是学生根据自己的学习情况提出问题,教师和学生共同探究,教师参与到学生的讨论中来。
@#@既让学生学到了知识,又拉近了学生与教师之间的距离。
@#@学生学习方式也转变了。
@#@通过课前预习,课上探究、展示、交流,课堂不再是老师的一言堂,学生真正的参与到学习中来,特别是一部分对学习缺乏兴趣的学困生在“组间同质、组内异质”的小组组建原则和捆绑评价机制的约束和激励下,从一个旁观者,成了学习的参与者,并且还能代表小组发言,给了他们一个展示的机会。
@#@这部分学生参与到学习中来,课堂气氛就更活跃了,学生动起来了,成绩的提高、能力的提高有了明显的效果。
@#@@#@存在问题:
@#@@#@一、在合作学习过程中,每组6号或7号同学惰性大、依赖性强,甚至有极个别学生抄袭他人的答案。
@#@@#@二、学研稿中反思积累部分几乎为空白,学生对所学知识缺乏总结归纳能力。
@#@@#@三、自主课堂所需要的预习展示缺乏一定的硬件条件,走读生放学返家后缺少较为理想的预习时间,而住校生又没有可利用的书本资料或网络资源,所以,自主课堂在预习一环节就断了节,在第二天的课堂展示中,这些学生老是力不从心。
@#@@#@四、学生对知识的掌握程度,教师把握不是很到位。
@#@很多时候,教师看到了积极回答问题同学的风采,讲的有条理也明白,但这样的同学只是一部分,对于差一点的学生应及时给予帮助,但一节课的时间有限。
@#@@#@五、学研稿的实施对优秀生的学习来说确实是起到了事半功倍的作用,但对于学困生来说自学能力较差,如果组间互助跟不上,就会出现脱节现象。
@#@@#@六、少数学习不够投入的学生拿到学研稿的时候,比较茫然,不能认真看书预习,对老师要求的内容不听,急急忙忙就去填写,想以最少的时间学更多的知识,为完成任务而学习。
@#@@#@七、长效激励评价机制还不够健全。
@#@@#@建议及设想:
@#@@#@一、教师要加强理论和实践的学习,多读书、多观摩、多实践、多反思,将挫折提升为阶梯,将困难化作动力。
@#@能多走出去亲身实地的体验先进学校的“自主课堂”,用他山之石攻我之玉;@#@日常教学之余,也要加大力度从书本上、报刊、网络中寻求更好的解决之道,同时,我们也要将我们前期课改中的经验和教训改进,继续实践。
@#@@#@二、加强与学生的沟通,了解学情,坚持理想教育,帮助学生端正学习态度、树立学习目标,尤其是后进生,要多给予他们以爱心,提升他们的信心,以便尽快的扫除自主课堂的“心理障碍”;@#@@#@三、更科学的进行小组学习管理,让组内的所有成员能感到“人人有事干,事事能干好”,同时加强过程性评价,如实行小组内成员“捆绑制”,落后者劳动服务集体等策略。
@#@@#@四、在评价激励和分层教学上仍需多做探讨,解决目前还存在的两极分化现象。
@#@@#@五、学研稿备写时,在学法指导上还需进一步强化。
@#@@#@@#@@#@延安市富县沙梁中学课题组@#@2014年1月12日@#@@#@@#@@#@@#@";i:
20;s:
17991:
"PMP资格认证考试考试真题卷@#@2022年PMP资格认证考试考试真题卷@#@本卷共分为1大题50小题,作答时间为180分钟,总分100分,60分及格。
@#@@#@@#@一、单项选择题(共50题,每题2分。
@#@每题的备选项中,只有一个最符合题意)@#@@#@1.Aprojectteamissufferingfrommisunderstandingsbetweenteammemberswhohavedifferentculturalbackgrounds.Theprojectmanagerisevaluatinghowtoimprovecommunicationandcollaboration.Whichofthefollowingstatementsisnottrue?
@#@@#@A)Onlythosewhorealizethatculturaldifferencesarearesourcetobefullyutilizedwillsurviveininternationalprojectbusiness.B)Thereisacommongroundforpeoplefromdifferentculturesonwhichtheycaninteractwithoutunsolvableconflicts.C)Culturaldifferenceswillalwaysremainanobstacletobeovercomeforcross-culturalprojects.D)Cross-culturalcompetenceisacriticalleverforcompetitiveadvantageininternationalprojectbusiness.@#@2.Alltechnicalworkiscompletedontheproject.Whichofthefollowingremainstobedone?
@#@@#@A)Verifyscope.B)Riskresponseplan.C)Staffingmanagementplan.D)Lessonslearned.@#@3.以下关于项目成本与生命周期成本的说法都是正确的,除了____@#@A.项目成本是生命周期成本的一个组成部分B.旨在降低项目成本的项目决策可能导致生命周期成本上升C.生命周期成本是包括项目成本、项目产品使用成本和报废成本在内的全部成本D.生命周期成本是指在整个项目生命周期中所发生的全部成本@#@4.Aprojectmanagerhasjustbeenassignedtoanewprojectandhasbeengiventheprojectcharter.TheFIRSTthingtheprojectmanagermustdois____@#@A)createaprojectscopestatementB)confirmthatallthestakeholdershavehadinputintothescopeC)analyzeprojectriskD)beginworkonaprojectmanagementplan@#@5.WhichofthefollowingstatementsisNOTtrueregardingarrowdigrammingmethod?
@#@@#@A)Scheduleactivitiesarerepresentedbyarrows.B)Thelengthofthearrowrepresentstheexpecteddurationoftheactivity.C)Thetailofthearrowrepresentsthestartoftheactivity.D)Theheadofthearrowrepresentsthefinishoftheactivity.@#@6.为了压缩历时,你希望对项目进行快速跟进。
@#@你从项目的进度计划中得到如下关于各活动的浮动时间的信息:
@#@活动B为2周,活动C为1周,活动D为0周,活动E为3周,活动F为1周,活动G为0周,活动H为4周,活动I为0周。
@#@你将对哪个活动进行快速跟进?
@#@____@#@A.B和EB.C和FC.H和ID.D和I@#@7.你所管理的是一个跨国团队,其中包括来自4个不同国家的成员。
@#@在一次团队会议之后,你发现来自某个国家的3个成员在一起议论,嘲笑其他某个国家的成员所说的英语很不标准,简直让人听不懂。
@#@处理这种情况的最好办法是____@#@A.在下次会议上公开批评这3个成员B.处罚这三个成员,并向其他团队成员通报情况C.请被嘲笑的成员在业余时间补习英语,尽量说得标准一些D.私下批评这3个成员,并为项目团队提供适当的沟通培训@#@8.某大型设备制造和安装项目正在紧张进行中。
@#@为了按期完工,项目团队在上周末进行了加班工作。
@#@但是,在今天(周二)下午,项目经理突然决定将项目竣工日期向后推迟两周。
@#@项目经理这样做的理由是____@#@A.项目发生了紧急情况B.项目发生了范围蔓延C.项目经理无视职业道德D.成员不愿意继续加班@#@9.一位项目经理刚刚被派到一个新项目上,并得到了一份项目范围说明书。
@#@这个项目经理必须做的第一件事是____@#@A.创建WBS和WBS词典,建立项目范围基准B.确认所有项目干系人的需求都已包括在工作范围中C.建立项目管理团队D.制订项目管理计划@#@10.在编制人力资源计划时,你发现来自职能部门的一名成员需要经过3天的培训,才能具备某种特定的技能,来完成某个特定的工作包。
@#@由于专业的限制,项目团队中没有其他人可以替代该成员。
@#@你应该____@#@A.在进度计划中增加3天时间B.要求他利用业余时间完成这3天的培训C.把该培训作为项目工作之一,加入项目计划中,并进行风险分析D.要求用一个不需培训的成员来替换该成员@#@11.在项目收尾阶段,项目管理团队编制了项目最终的绩效报告,显示项目成本没有超过预算,工期也没有拖延,而且项目产品的功能还比计划增加了2项。
@#@在专门开展的客户满意度调查中,客户表示对项目很满意。
@#@从项目成功的角度讲,这意味着什么?
@#@____@#@A.项目很成功,因为实现并超过了原定目标B.项目不成功,因为项目镀金了C.项目不成功,因为客户本来应该为额外的功能付款D.项目很成功,因为成本和工期都未超出计划的要求@#@12.Whatistruewhenachangecontrolsystem&@#@#39;@#@sprovisionforchangeswithoutformalreviewincaseofemergencyisbeingutilized?
@#@@#@A)Changescanbesimplyappliedwithoutfurthermanagerialactivitiesiftheyhelpsolvingtheproblem.B)Changesmuststillbedocumentedandcapturedsothattheydonotcauseproblemslaterintheproject.C)Anewchangecontrolboardshouldbeestablished.D)Theprojectbaselinemustbechangedaswell.@#@13.项目的完成日期将改变,如果____@#@A.关键路径缩短了B.项目资源被缩减了C.风险应对计划变更了D.进度计划修改了@#@14.Whatisnotareasonforcompaniestoorganizelessonslearned?
@#@@#@A)Lessonslearneddatabasesareanessentialelementoftheorganizationalprocessassets.B)Lessonslearnedshouldfocusonidentifyingthoseaccountableforerrorsandfailures.C)Lessonslearnedsessionsshouldbringaboutrecommendationstoimprovefutureperformanceonprojects.D)Phase-endlessonslearnedsessionsprovideagoodteambuildingexerciseforprojectstaffmembers.@#@15.项目干系人在以下哪个阶段对项目范围、质量、时间和成本具有最大的影响力?
@#@____@#@A.概念阶段B.规划阶段C.执行阶段D.结束阶段@#@16.项目管理团队正在进行风险应对规划。
@#@他们讨论了如下几种可能的应对措施,包括工作外包、购买保险、置之不理、把工作分配给更有经验的人、在项目进行过程中增加测试次数、增加应急储备、对员工进行更好的培训。
@#@他们没有涉及到的应对策略是____@#@A.风险转移B.风险缓解C.风险回避D.风险接受@#@17.Whoisresponsibleforqualitymanagementintheproject?
@#@@#@A)Projectleader.B)Qualitycontroldepartment.C)Projectmanager.D)Teammember.@#@18.在以下哪种阶段与阶段间的关系下,项目经理需要同时拥有所有不同专业的团队成员?
@#@____@#@A.交叠关系B.迭代关系C.顺序关系D.复合关系@#@19.项目团队中的一个重要成员辞职了。
@#@他掌握项目所必需的某种专门技术。
@#@没有这个技术,就无法完成项目的一个可交付成果。
@#@项目团队内没有其他人掌握该项专门技术。
@#@项目经理的唯一选择就是尽快从外部的一个咨询公司获得相关的专门技术服务。
@#@为此,项目经理应该____@#@A.直接从他自己喜欢的咨询公司获取服务B.采用竞争性招标的方式选择一家咨询公司C.遵守执行组织关于使用外部服务的规定D.请管理层推荐一家咨询公司@#@20.应该尽早制定团队的基本规则,以便____@#@A.使团队中的认可与奖励工作有据可依B.团队成员对团队中的可接受行为有一致的认识C.更好地开展培训工作D.更好地控制团队建设活动@#@21.Youareinthecloseprojectprocess.Youareengagedintheactivitytosettleandclosesomecontractagreementsestablishedfortheproject.Whichofthefollowingactivitiesareyouinto?
@#@@#@A)Administrativeclosure.B)Contractclosure.C)Projectexecuting.D)Planning.@#@22.据项目的定义,以下哪一项通常不是项目?
@#@____@#@A.开发管理信息系统B.举行工作检查例会C.推广使用新农药D.举办新型汽车展览会@#@23.你的项目购买的一种网络版的项目管理软件,用于分散在世界各地的团队成员之间的沟通。
@#@按照软件的技术要求,每个使用该软件的团队成员都需要特别注册。
@#@项目团队需要根据注册人数的多少,向软件公司支付年度使用费。
@#@这种费用是____@#@A.可变成本B.直接成本C.固定成本D.间接成本@#@24.你是某会议场馆建设项目的项目经理。
@#@该项目旨在建设一个供某重要会议使用的场馆,该会议的开幕时间已经确定,不可更改。
@#@在计划编制过程中,你发现项目进度计划中出现了负浮动时间,你应该____@#@A.告诉客户无法按规定日期完工B.请求客户推迟完工日期C.仅在进度计划中包括那些能在可用时间内完成的工作D.确定赶工或快速跟进的方法,并向管理层报告@#@25.你在国外旅游期间,在购买物品时,卖主说“这个手表,我卖给别人都是2万元,看在我们都是美国人的份上,就用1万元卖给你了!
@#@”。
@#@这种情况下,很可能____@#@A.这块手表真的值2万元B.卖主在使用“公平合理”的谈判策略C.卖主真的很讲情义D.这块手表不值1万元@#@26.Whatisthepurposeofaprojectcharter?
@#@@#@A)Toaddressanddocumentthecharacteristicsandboundariesoftheprojectanditsassociatedproductsandservices.B)Toformallyauthorizetheprojectandprovidetheprojectmanagerwiththeauthoritytoapplyorganizationalresources.C)Tolinktheproject,whichisgoingtobeplanned,executed,andmonitored/controlledtotheongoingworkoftheorganization.D)Tobreakdownthescopeoftheprojectintosmaller,bettermanageablecomponentsforfurtherplanningandestimating.@#@27.下列哪项关于冲突的陈述是正确的?
@#@____@#@A.冲突的主要来源是个性B.冲突可能是有益的C.缓解是解决冲突的最好办法D.为防止冲突,最好是减少成员之间的接触@#@28.在研发项目中,需求分析往往是十分困难的。
@#@客户可能并不真正清楚他们想要的东西,而且他们的想法可能随着时间的推移和情况的变化而发生改变。
@#@面临着客户需求的较大不确定性,研发项目的项目经理最好采用以下哪种方法来收集需求?
@#@____@#@A.头脑风暴法B.观察法C.原型法D.名义小组技术@#@29.在项目计划编制阶段的早期,项目经理应该使用哪种领导风格?
@#@____@#@A.教练型B.指挥型C.支持型D.授权型@#@30.Thepersonwhoshouldbeincontroloftheprojectduringprojectmanagementplanningisthe____@#@A)projectmanagerB)teammemberC)functionalmanagerD)sponsor@#@31.Whichprocessgroupfocusesoncompletingtherequirementsoftheproject?
@#@@#@A)Initiating.B)Planning.C)Executing.D)Closing.@#@32.在圣诞节到来之前,承包商给你送来了一个贺卡,其中还夹着一张150美元的代金券。
@#@凭此券,可以在明年的1月10日之前到一个当地的餐馆消费。
@#@你应该____@#@A.用这个代金券去餐馆消费B.退还代金券,并解释不能接受的理由C.把代金券上交给管理层D.用代金券招待需要资助的穷人@#@33.WhichofthefollowingisNOTamethodforprogressreportingforworkpackages?
@#@@#@A)Percentcomplete.B)Fasttracking.C)20/80rule.D)50/50rule.@#@34.你们正在编制一份投标文件,想要获得海外一个软件开发项目。
@#@买方的招标文件中要求卖方的项目经理必须具备资质。
@#@你知道刘易斯是一个很合适的人选,他已经参加了的纸笔考试,应该会在投标截止日期之后两周得知考试结果。
@#@你和他本人都非常相信他能够通过考试,因为他拥有15年的项目管理经验,而且拥有项目管理的硕士学位。
@#@在投标文件中,你应该____@#@A.把刘易斯列为项目经理,并说他具备资质B.把刘易斯列为项目经理,并如实说明他正在等待考试结果C.把另外一个具有资质者列为项目经理,并打算在中标后再替换为刘易斯D.把刘易斯列为项目经理,并建议买方不把拥有资质作为必要条件@#@35.在弱矩阵组织结构下,如果同时存在多个项目需要管理,将会产生一些重大的困难,这是因为____@#@A.项目经理的正式权力小于职能经理B.不同优先级的项目对有限资源的需求矛盾C.项目团队成员将更在意他们各自的职能经理,而不是他们的项目经理D.项目经理需要花费更多时间来理解项目干系人的利益优先级@#@36.你正在从事新药开发项目,该药具有改善人类睡眠和促进身高增长的作用。
@#@新药产品即将进行临床试验。
@#@这时,产品试验被更加详细地分为三个阶段,即三次第一阶段试验和四次第二阶段试验以及五次第三阶段试验。
@#@这种做法是以下哪一种情况的实例?
@#@____@#@A.产品生命周期中的质量功能展开B.依据工作分解结构来定义项目活动C.谨慎的风险应对D.项目生命周期中的渐进明细@#@37.项目的成功离不开管理层的支持。
@#@下列哪一项最能描述管理层的角色?
@#@____@#@A.帮助项目团队编制项目计划B.防止项目目标改变C.确定项目约束条件D.帮助项目团队把项目计划整合在一起@#@38.Youarerunningaprojectforacustomerbasedonacostreimbursablecontractwiththefollowingterms:
@#@Targetcosts,$1000000;@#@fixfee,100000;@#@benefit/costsharing,80/20;@#@priceceiling,1200000.WhichisthePTA(pointoftotalassumption,breakpoint)oftheproject?
@#@@#@A)$1300000.B)$1500000.C)$80000.D)$1125000.@#@39.下列哪项权力可以对下级和上级都能产生实质性的影响?
@#@____@#@A.正式权力和专家权力B.奖惩权力和正式权力C.专家权力和参照性权力D.专家权力和惩罚权力@#@40.Aparticularstakeholderhasareputationformakingmanychangesonprojects.WhatistheBESTapproachaprojectmanagercantakeatthebeginningoftheprojecttomanagethissituation?
@#@@#@A)Say"@#@no"@#@tothestakeholderafewtimestodissuadehimfromsubmittingmorechanges.B)Getthestakeholderinvolvedintheprojectasearlyaspossible.C)Talktothestakeholder&@#@#39;@#@sbosstofindwaystodirectthestakeholder&@#@#39;@#@sactivitiestoanotherproject.D)Askthatthestakeholdernotbeincludedinthestakeholderlisting.@#@41.Theconceptofthe____statesthatchangesrelatedtoonerequirement-scope,timeorcostwillatleastinfluenceoneotherelement.@#@A)three-pointestimationB)tripleconstraintC)threewisemenD)threeneedstheory@#@42.项目阶段经常是按一定的顺序来进行的,但有时也可以部分交叉。
@#@把原来先后顺序进行的工作调整为平行进行的进度压缩技术被称为____@#@A.赶工B.资源平衡C.快速跟进D.并行工程@#@43.当地气象台刚刚发布了紧急暴风雨警报,预计2小时后有大暴风雨。
@#@你们正在执行的项目的某个部位需要特别保护。
@#@团队中的两名成员对如何保护这个部位有很大的意见分歧,并为此争论不休。
@#@在你发现这个情况后,应该如何解决他们之间的争论?
@#@____@#@A.鼓励他们继续争论,以求得最好的保护方法B.要求他们采用折中的保护方法C.强行要求采用某种保护方法D.要求他们征求其他成员的意见@#@44.验收的可交付成果是下列哪个过程的输出?
@#@____@#@A.收尾过程B.监控过程C.执行过程D.规划过程@#@45.你通过电子邮件向客户发送项目设计的技术规范文件。
@#@但是,客户抱怨打不开文件,并因此不能按时进行审查。
@#@造成这种情况的原凶是____@#@A.干系人管理不当B.沟通规划不当C.信息发布错误D.绩效报告错误@#@46.Whichisnotagoalinbothprojectmanagementandqualitymanagement?
@#@@#@A)Preventionoverinspection.B)Customersatisfaction.C)Managementresponsibility.D)Tripleconstraint.@#@47.事先制订好团队成员的遣散计划,可以获得下列各项好处,除了____@#@A.促进团队成员集中办公B.节约项目成本C.提高成员士气D.降低项目的人力资源风险@#@48.Duringactivitysequencing,youidentifyanddocumentinteractivitydependencies.AllthefollowingareoutputsfromactivitysequencingprocessEXCEPT____@#@A)projectschedulenetworkdiagramsB)activityattributeupdatesC)requestedchangesD)milestonelist@#@49.在组织内部,各职能部门间的工作整合通常由谁来负责?
@#@____@#@A.高级管理层B.项目业主或发起人C.运营经理D.项目经理或项目管理办公室@#@50.你的一名团队成员业绩突出,你想要奖励他,但苦于没有奖励经费。
@#@这时,他来找你请2天假。
@#@由于他本月的全部休假已经用完,他想预支下个月的休假。
@#@公司的规章制度明确规定不能预支假期。
@#@你应该____@#@A";i:
21;s:
29852:
"Word使用进阶篇问答@#@Word使用进阶篇问答,赶紧留一份@#@1.问:
@#@WORD里边怎样设置每页不同的页眉?
@#@如何使不同的章节显示的页眉不同?
@#@@#@ @#@ @#@ @#@答:
@#@分节,每节可以设置不同的页眉。
@#@文件――页面设置――版式――页眉和页脚――首页不同。
@#@@#@ @#@ @#@ @#@2.问:
@#@请问word中怎样让每一章用不同的页眉?
@#@怎么我现在只能用一个页眉,一改就全部改了?
@#@@#@ @#@ @#@ @#@答:
@#@在插入分隔符里,选插入分节符,可以选连续的那个,然后下一页改页眉前,按一下“同前”钮,再做的改动就不影响前面的了。
@#@简言之,分节符使得它们独立了。
@#@这个工具栏上的“同前”按钮就显示在工具栏上,不过是图标的形式,把光标移到上面就显示出”同前“两个字来。
@#@@#@ @#@ @#@ @#@3.问:
@#@如何合并两个WORD文档,不同的页眉需要先写两个文件,然后合并,如何做?
@#@@#@ @#@ @#@ @#@答:
@#@页眉设置中,选择奇偶页不同/与前不同等选项。
@#@@#@ @#@ @#@ @#@4.问:
@#@WORD编辑页眉设置,如何实现奇偶页不同?
@#@比如:
@#@单页浙江大学学位论文,这一个容易设;@#@双页:
@#@(每章标题),这一个有什么技巧啊?
@#@@#@ @#@ @#@ @#@答:
@#@插入节分隔符,与前节设置相同去掉,再设置奇偶页不同。
@#@@#@ @#@ @#@ @#@5.问:
@#@怎样使WORD文档只有第一页没有页眉,页脚?
@#@@#@ @#@ @#@ @#@答:
@#@页面设置-页眉和页脚,选首页不同,然后选中首页页眉中的小箭头,格式-边框和底纹,选择无,这个只要在“视图”――“页眉页脚”,其中的页面设置里,不要整个文档,就可以看到一个“同前”的标志,不选,前后的设置情况就不同了。
@#@@#@ @#@ @#@ @#@6.问:
@#@如何从第三页起设置页眉?
@#@@#@ @#@ @#@ @#@答:
@#@在第二页末插入分节符,在第三页的页眉格式中去掉同前节,如果第一、二页还有页眉,把它设置成正文就可以了 @#@@#@ @#@ @#@ @#@●在新建文档中,菜单―视图―页脚―插入页码―页码格式―起始页码为0,确定;@#@●菜单―文件―页面设置―版式―首页不同,确定;@#@●将光标放到第一页末,菜单―文件―页面设置―版式―首页不同―应用于插入点之后,确定。
@#@第2步与第三步差别在于第2步应用于整篇文档,第3步应用于插入点之后。
@#@这样,做两次首页不同以后,页码从第三页开始从1编号,完成。
@#@@#@ @#@ @#@ @#@7.问:
@#@WORD页眉自动出现一根直线,请问怎么处理?
@#@@#@ @#@ @#@ @#@答:
@#@格式从“页眉”改为“清除格式”,就在“格式”快捷工具栏最左边;@#@选中页眉文字和箭头,格式-边框和底纹-设置选无。
@#@@#@ @#@ @#@ @#@8.问:
@#@页眉一般是---------,上面写上题目或者其它,想做的是把这根线变为双线,WORD中修改页眉的那根线怎么改成双线的?
@#@@#@ @#@ @#@ @#@答:
@#@按以下步骤操作去做:
@#@ @#@@#@ @#@ @#@ @#@●选中页眉的文字,包括最后面的箭头●格式-边框和底纹●选线性为双线的●在预览里,点击左下小方块,预览的图形会出现双线●确定▲上面和下面自己可以设置,点击在预览周围的四个小方块,页眉线就可以在不同的位置。
@#@@#@ @#@ @#@ @#@9.问:
@#@Word中的脚注如何删除?
@#@把正文相应的符号删除,内容可以删除,但最后那个格式还在,应该怎么办?
@#@@#@ @#@ @#@ @#@答:
@#@步骤如下:
@#@1、切换到普通视图,菜单中“视图”――“脚注”,这时最下方出现了尾注的编辑栏。
@#@2、在尾注的下拉菜单中选择“尾注分隔符”,这时那条短横线出现了,选中它,删除。
@#@3、再在下拉菜单中选择“尾注延续分隔符”,这是那条长横线出现了,选中它,删除。
@#@4、切换回到页面视图。
@#@尾注和脚注应该都是一样的。
@#@@#@ @#@ @#@ @#@10.问:
@#@Word里面有没有自动断词得功能?
@#@常常有得单词太长了,如果能设置下自动断词就好了@#@ @#@ @#@ @#@答:
@#@在工具―语言―断字―自动断字,勾上,word还是很强大的。
@#@@#@ @#@@#@ @#@@#@ @#@@#@ @#@ @#@ @#@11.问:
@#@如何将word文档里的繁体字改为简化字?
@#@@#@ @#@ @#@ @#@答:
@#@工具―语言―中文简繁转换。
@#@@#@ @#@ @#@ @#@12.问:
@#@怎样微调WORD表格线?
@#@WORD表格上下竖线不能对齐,用鼠标拖动其中一条线,可是一拖就跑老远,想微调表格竖线让上下对齐,请问该怎么办?
@#@@#@ @#@ @#@ @#@答:
@#@选定上下两个单元格,然后指定其宽度就可以对齐了,再怎么拉都行press"@#@Alt"@#@,打开绘图,其中有个调整坐标线,单击,将其中水平间距与垂直间距都调到最小值即可。
@#@打开绘图,然后在左下脚的绘图网格里设置,把水平和垂直间距设置得最小。
@#@@#@ @#@ @#@ @#@13.问:
@#@怎样微调word表格线?
@#@我的word表格上下竖线不能对齐,用鼠标拖动其中一条线,可是一拖就跑老远,我想微调表格竖线让上下对齐,请问该怎么办?
@#@@#@ @#@ @#@ @#@答:
@#@可以如下操作:
@#@●按住ctl键还是shift,你haveatry●doubleclicktheline,tryit:
@#@)●打开绘图,设置一下网格(在左下角)。
@#@使水平和垂直都为最小,试一把!
@#@?
@#@●press"@#@Alt"@#@@#@ @#@ @#@ @#@14.问:
@#@怎么把word文档里已经有的分页符去掉?
@#@@#@ @#@ @#@ @#@答:
@#@先在工具――>@#@选项――>@#@视图――>@#@格式标记,选中全部,然后就能够看到分页符,delete就ok了。
@#@@#@ @#@ @#@ @#@15.问:
@#@Word中下标的大小可以改的吗?
@#@@#@ @#@ @#@ @#@答:
@#@格式―字体@#@ @#@ @#@ @#@16.问:
@#@Word里怎么自动生成目录啊@#@ @#@ @#@ @#@答:
@#@用“格式>@#@>@#@样式和格式”编辑文章中的小标题,然后插入->@#@索引和目录@#@ @#@ @#@ @#@17.问:
@#@Word的文档结构图能否整个复制?
@#@论文要写目录了,不想再照着文档结构图输入一遍,有办法复制粘贴过来吗?
@#@@#@ @#@ @#@ @#@答:
@#@可以自动生成的,插入索引目录。
@#@@#@ @#@ @#@ @#@18.问:
@#@做目录的时候有什么办法时右边的页码对齐?
@#@比如:
@#@1.1标题..........11.2标题...............2@#@ @#@ @#@ @#@答:
@#@画表格,然后把页码都放到一个格子里靠右或居中,然后让表格的线条消隐就可以了,打印出来就很整齐。
@#@@#@ @#@ @#@ @#@19.问:
@#@怎样在word中将所有大写字母转为小写?
@#@比如一句全大写的转为全小写的答:
@#@格式->@#@更改大小写->@#@小写@#@ @#@ @#@ @#@20.问:
@#@在存盘的时候,出现了问题,症状如下:
@#@磁盘已满或打开文件过多,不能保存,另开新窗口重存也不管用。
@#@如何解决?
@#@@#@ @#@ @#@ @#@答:
@#@把word文档全选,然后复制,然后关掉word,电脑提示你粘贴板上有东西,要不要用于别的程序,选是,然后,再重新打开word,然后粘贴,然后,保存。
@#@@#@ @#@@#@ @#@@#@ @#@@#@ @#@ @#@ @#@21.问:
@#@WORD中的表格一复制粘贴到PPT中就散掉了,怎么把WORD里面的表格原样粘贴到PPT中?
@#@ @#@@#@ @#@ @#@ @#@答:
@#@1)比较好的方法是:
@#@先把表格单独存为一WORD文件,然后插入-->@#@对象,选由文件创建,然后选中上面的WORD文件,确定;@#@2)还可以先把表格copy到excel中,然后copy到PPT中,这个也是比较好的办法;@#@3)可以先做成文本框,再粘贴过去;@#@4)复制粘贴,但是在PPT中不能粘在文本框里面;@#@5)拷屏,做成图片,再弄到PPT里面。
@#@@#@ @#@ @#@ @#@22.问:
@#@有没有办法将PPT的文字拷入WORD里面?
@#@@#@ @#@ @#@ @#@答:
@#@另存就可以了。
@#@只要以.rtf格式另存即可@#@ @#@ @#@ @#@23.问:
@#@word中图片的分栏如何处理?
@#@假如有:
@#@12图34这样的结构,我想实现:
@#@13图(要横跨两栏)24但是,试了半天总是:
@#@12图34怎么办呀?
@#@help!
@#@ @#@ @#@ @#@@#@ @#@ @#@ @#@答:
@#@设置图片格式――版式――高级――文字环绕――环绕方式选上下型――图片位置――对齐方式选居中――度量依据选页面,要先改文字环绕,然后才能改图片位置 @#@@#@ @#@ @#@ @#@24.问:
@#@用word写东西时字距老是变动,有时候自动隔得很开,有时候进入下一行的时侯,上一行的字距又自动变大了,这是为什么?
@#@怎么纠正啊?
@#@ @#@@#@ @#@ @#@ @#@答:
@#@是因为自动对齐的功能,格式――>@#@段落――>@#@对齐方式可以选。
@#@还有允许断字的功能如果check上,就不会出现你说的情况了。
@#@@#@ @#@ @#@ @#@25.问:
@#@在使用WORD的样式之后,如标题1、标题2之类的,在这些样式前面总会出现一个黑黑的方块,虽然打印的时候看不到,但看着总是不舒服,有没有办法让它不要显示呢?
@#@ @#@@#@ @#@ @#@ @#@答:
@#@“视图”-->@#@“显示段落标志”,把前面的勾去掉。
@#@其实这个很有用,可以便于知道哪个是标题段落@#@ @#@ @#@ @#@26.问:
@#@文章第一页下面要写作者联系方式等。
@#@通常格式是一条短划线,下面是联系方式,基金支持等。
@#@这样的格式怎么做出来?
@#@就是注明页脚吗?
@#@ @#@@#@ @#@ @#@ @#@答:
@#@插入――脚注和尾注@#@ @#@ @#@ @#@27.问:
@#@文字双栏,而有一张图片特别大,想通栏显示,应该怎么操作?
@#@ @#@@#@ @#@ @#@ @#@答:
@#@可以选择的内容,按双栏排。
@#@选择其他内容,按单栏排。
@#@@#@ @#@ @#@ @#@28.问:
@#@Word里面如何不显示回车换行符?
@#@ @#@@#@ @#@ @#@ @#@答:
@#@把视图->@#@显示段落标记的勾去掉或工具->@#@选项->@#@视图->@#@段落标记@#@ @#@ @#@ @#@29.问:
@#@有没有方法把WORD里的软回车一下子替换掉?
@#@识别出来的文字全带着软回车,能把他们一次全删掉吗?
@#@?
@#@ @#@@#@ @#@ @#@ @#@答:
@#@查找+替换,按CTRL+H;@#@软回车好象是^l,在特殊字符里有@#@ @#@ @#@ @#@30.问:
@#@在WORD里的框框里怎么打勾?
@#@ @#@@#@ @#@ @#@ @#@答:
@#@画个文本框,文本框里写一个钩,然后拖过去;@#@或者先在WORD里插入符号“√”,然后选中“√”,到-》格式-》中文版式-》带圈字符-》选“□”@#@ @#@@#@ @#@@#@ @#@@#@ @#@ @#@ @#@31.问:
@#@还是不行,这样拷过去的框框字体是windings的,而原来的是宋体的,两者有很大的区别。
@#@ @#@@#@ @#@ @#@ @#@答:
@#@根据模板新建专业型传真,里面有框,双击后打勾,copy就ok@#@ @#@ @#@ @#@32.问:
@#@Word中怎么在一个英文字母上打对号?
@#@ @#@@#@ @#@ @#@ @#@答:
@#@透明方式插入图片对象,内容是一个√@#@ @#@ @#@ @#@33.问:
@#@WORD里怎么显示修订文档的状态?
@#@文档修订后,改后标记很多,但是在菜单里没有“显示修订最终状态”等,怎么调出来?
@#@ @#@@#@ @#@ @#@ @#@答:
@#@工具->@#@自定义->@#@命令->@#@类别(工具)->@#@命令(修订)->@#@把“修订”等拖到工具栏上@#@ @#@ @#@ @#@34.问:
@#@怎样把许多分开的word文档合并成一个文档。
@#@我的论文是按照章节分开写的,但现在图书馆要提交电子版的学位论文,是一个文档的,我找了很多选项但好象不能合并,选择插入文件功能,可以加入内容,但文档中的页眉却插不进去,有谁有高见?
@#@ @#@@#@ @#@ @#@ @#@答:
@#@acrobat6可以直接把多个文档打印成一个pdf文档。
@#@可以提交pdf格式的论文,先一个一个word文档转换为pdf格式的,然后在pdf文档菜单的文件菜单中,选上作为pdf格式打开,追加上就可。
@#@@#@ @#@ @#@ @#@35.问:
@#@Word里面要写方程式怎么办啊?
@#@ @#@@#@ @#@ @#@ @#@答:
@#@插入-对象-公式编辑器equation,如果没有公式编辑器Equation,要自己从光盘中安装,或者安装Mathtype公式编辑器按右键把它拖出来--插入--命令--自定义--工具应该是倒过来@#@ @#@ @#@ @#@36.问:
@#@想在WORD里面表示矩阵,怎样才能画出那个很大的矩阵括号?
@#@ @#@@#@ @#@ @#@ @#@答:
@#@装公式编辑器mathtype好了~:
@#@)@#@ @#@ @#@ @#@37.问:
@#@Word的公式编辑器怎么安装?
@#@ @#@@#@ @#@ @#@ @#@答:
@#@工具-自定义-插入-公式编辑器,把它拖到工具条上即可;@#@或者安装OFFICE后,再次安装,选增加功能吧,会有提示的@#@ @#@ @#@ @#@38.问:
@#@Word2000下调用公式编辑器的快捷键?
@#@@#@ @#@ @#@ @#@答:
@#@点击菜单[工具]->@#@[自定义],点击对话框下方[键盘],在[类别]里选择[插入],在命令里选择[InsertEquation],指定你的快捷方式@#@ @#@ @#@ @#@39.问:
@#@WORD中出现公式的行往往要比只有文字的行来得宽,如何把这些行改的跟只有文字的行一样宽?
@#@ @#@@#@ @#@ @#@ @#@答:
@#@段落行距设为固定值即可。
@#@这样会有一个问题,比如设置为18磅,有些公式符号(特别是有下标的)不能全部显示打印稿可以显示。
@#@怎么解决这个问题?
@#@这个如何解决还需要考虑。
@#@@#@ @#@ @#@ @#@40.问:
@#@我的文档就是公式多,应该怎么办?
@#@ @#@@#@ @#@ @#@ @#@答:
@#@公式多的时候,最好的消除这个问题的办法就是每打几个公式就要存盘,如果连续打太多,就会出现这个问题。
@#@出现问题的时候:
@#@●选中所有内容,ctrl+C●把WORD所有文档关闭。
@#@ @#@@#@ @#@ @#@ @#@●最关键:
@#@出现一条信息,务必选择“是”●重新打开WORD编辑器,●ctrl+V,粘贴●ctrl+S,存盘@#@ @#@@#@ @#@@#@ @#@@#@ @#@ @#@ @#@41.问:
@#@怎样在word里面的公式编辑器中输入空格?
@#@ @#@@#@ @#@ @#@ @#@答:
@#@ctrl+shift+space@#@ @#@ @#@ @#@42.问:
@#@如何使word中公式全都小一号?
@#@一个一个选实在麻烦 @#@@#@ @#@ @#@ @#@答:
@#@在Mathtype公式编辑器中:
@#@首先,在Mathtype中的菜单Size中选define,定义所需的字号大小;@#@再次,在Mathtype中的菜单preferences中的equationpreference的savetofile存贮所定义的字号文件;@#@返回word中:
@#@在Mathtype菜单中选Formatequation:
@#@1)在MathTypepreferencefile中,选你刚才所定义的文件;@#@2)在Range中,选Wholedocument。
@#@最后,选OK,即OK了。
@#@@#@ @#@ @#@ @#@43.问:
@#@如何将WORD中的公式编缉拉到外面?
@#@@#@ @#@ @#@ @#@答:
@#@工具-自定义-命令-插入-右边找公式编辑器,往上脱@#@ @#@ @#@ @#@44.问:
@#@怎样可以去掉word里面公式,或是图片上方总是出现的灰色的横条啊?
@#@以前没有的,不知道怎么跑出来了,看着怪晕糊的。
@#@。
@#@。
@#@。
@#@。
@#@@#@ @#@ @#@ @#@答:
@#@工具->@#@选项->@#@视图->@#@域底纹,选不显示,或选取时显示,就可以了@#@ @#@ @#@ @#@45.问:
@#@整个论文用一个WORD文档,太大,不好编辑,一个地方有增删,后面那么长一个文档版面分布会变得乱七八糟,特别是图表之类的东东。
@#@想让每章的偶数页自动显示自己的章号和题目,WORD里这个能够自动实现吗?
@#@ @#@@#@ @#@ @#@ @#@答:
@#@不要整个论文放一个WORD文档,一章一个,然后每章就可以奇偶分开处理了@#@ @#@ @#@ @#@46.问:
@#@论文按照章节写的,想把它们合并成一个文件,并保持原有的文件格式。
@#@采用了在文件末尾插入分节符的方法,但插入后有些文件的部分格式发生了变化,请问如何解决?
@#@ @#@@#@ @#@ @#@ @#@答:
@#@用主控文档的方法比较好,在大纲模式里设置的;@#@采取插入文件的方式,格式有些变化@#@ @#@ @#@ @#@47.问:
@#@WORD里边怎么样显示行号?
@#@ @#@@#@ @#@ @#@ @#@答:
@#@在页面设置那里,板式选项,最下面有个行号选项 @#@@#@ @#@ @#@ @#@48.问:
@#@Word里面怎么插入半个空格?
@#@ @#@@#@ @#@ @#@ @#@答:
@#@先在word的工具栏上,点中双箭头那个纽,就可以看到原先看不到的空格,然后再编辑一下这个空格的大小,比如小五或小四什么的。
@#@@#@ @#@ @#@ @#@49.问:
@#@只要一回车,或是改变光标位置的任何操作,都会使上一行的)变成=,有人遇到过这个问题么?
@#@ @#@@#@ @#@ @#@ @#@答:
@#@是不是设置了自动替换啊,符号里的自动替换看看吧!
@#@@#@ @#@ @#@ @#@50.问:
@#@WORD有没有可以按单词的首字母进行排序?
@#@就是从A-Z进行排 @#@@#@ @#@ @#@ @#@答:
@#@表格中的内容可以按照拼音排序,弄到excel里,排序,再回来@#@ @#@@#@ @#@@#@ @#@@#@ @#@ @#@ @#@51.问:
@#@怎么在word里面打R^2?
@#@@#@ @#@ @#@ @#@答:
@#@先打R2,然后用鼠标选中2,同时按"@#@Ctrl"@#@,“shift”和"@#@+"@#@@#@ @#@ @#@ @#@52.问:
@#@Word中发现空格都是小圆点,是怎么回事情?
@#@每输入一个空格就出现一个小圆点,怎么把它消除掉啊?
@#@这个空格会打印出来吗?
@#@ @#@@#@ @#@ @#@ @#@答:
@#@不会打印出来,如果想不显示:
@#@工具-选项-视图格式标记中前面的勾去掉即可@#@ @#@ @#@ @#@53.问:
@#@word如何使两个表格能排在一起?
@#@我做的表格每一个都比较小,但是表格数比较多,我想两个表格排成一行,请问该怎么做?
@#@ @#@@#@ @#@ @#@ @#@答:
@#@试试在局部分栏,每个分栏中一个表格。
@#@@#@ @#@ @#@ @#@54.问:
@#@为什么换机器打开WORD文档排版变了?
@#@在一台机器上排好板的WORD文档换在另一台机器打开就变了?
@#@页码都不对了,怪哉。
@#@ @#@@#@ @#@ @#@ @#@答:
@#@是默认的页面设置不一样吧,或者版本不同@#@ @#@ @#@ @#@55.问:
@#@Word里面插入表格的问题,同一表格前后两行被分在了不同的页上,想**他们在同一页怎么做?
@#@ @#@@#@ @#@ @#@ @#@答:
@#@转换成图文框可能更容易排版一点,或者加个文本框@#@ @#@ @#@ @#@56.问:
@#@怎么在word里画坐标图?
@#@在word里有了坐标图,文字却加不加去怎么办?
@#@ @#@@#@ @#@ @#@ @#@答:
@#@作图时直接将文字加上去;@#@word中的绘图工具条,文字环绕里面寻找合适的方案,把图放在文字的底层@#@ @#@ @#@ @#@57.问:
@#@WORD文件有密码,怎么办呢?
@#@ @#@@#@ @#@ @#@ @#@答:
@#@找破解软件,比如advanced_office_2000_password_recovery_pro_v1.03,但不一定好用。
@#@@#@ @#@ @#@ @#@58.问:
@#@怎么给word文档加密?
@#@ @#@@#@ @#@ @#@ @#@答:
@#@打开文档,另存为―工具―常规选项―打开、修改权限密码,保存@#@ @#@ @#@ @#@59.问:
@#@Word文件怎么转化为postscript文件?
@#@ @#@@#@ @#@ @#@ @#@答:
@#@先转化为pdf,然后打印到文件,通过distiller生成ps。
@#@@#@ @#@ @#@ @#@60.问:
@#@Word无法识别origin中的汉字怎么办?
@#@用origin做的图形中有汉字,copy到word中就成了问号,因此我不得不先用export把图形变为jpg文件才能解决这个问题,有没有方便的解决办法?
@#@ @#@@#@ @#@ @#@ @#@答:
@#@ORIGIN里面的字体改成宋体或者仿宋@#@ @#@@#@ @#@@#@ @#@@#@ @#@ @#@ @#@61.问:
@#@请教怎么把Origin中的图表拷贝到Word?
@#@ @#@@#@ @#@ @#@ @#@答:
@#@点origin的Edit菜单里的copypage到word里粘贴就行了@#@ @#@ @#@ @#@62.问:
@#@把origin的图复制粘贴到word,总有一大块的空白,这个空白有什么工具可以去掉吗?
@#@还有就是用word自带的图表工具画图时,也是有一大块空白去不掉,这个可以解决吗?
@#@ @#@@#@ @#@ @#@ @#@答:
@#@右键选择图片工具栏,点裁减@#@ @#@ @#@ @#@63.问:
@#@插入的图片为什么老是处于页面的顶端,想拖下来放到其他地方,却又自动跑到顶端去,就是拖不下来,请问该如何处理 @#@@#@ @#@ @#@ @#@答:
@#@改变图片的属性,就可以了。
@#@@#@ @#@ @#@ @#@64.问:
@#@如何保证一幅图像固定在某一段的后面,另一段的前面,而不会因为前面段落的删减而位置改变?
@#@ @#@@#@ @#@ @#@ @#@答:
@#@右键点击图片->@#@设置对象格式―>@#@版式―>@#@嵌入型@#@ @#@ @#@ @#@65.问:
@#@如何把在WORD里面图形工具画的图转化为jpg?
@#@ @#@@#@ @#@ @#@ @#@答:
@#@另存为html格式,然后在html文件对应的文件夹里找@#@ @#@ @#@ @#@66.问:
@#@请问什么格式的图片插入word最清晰?
@#@手头持有png和tif格式,复制粘贴到word中模糊一片,请问转换成什么图片格式用于word最清晰?
@#@什么方法(插入图片来自文件还是直接复制粘贴)对清晰度有否影响?
@#@ @#@@#@ @#@ @#@ @#@答:
@#@emf,eps等矢量图最清晰,不会因为缩放损失分辨率,而jpeg,bmp等点阵图就不行了。
@#@@#@ @#@ @#@ @#@67.问:
@#@在WORD中如何让图片的左、上、下边都是文本?
@#@ @#@@#@答:
@#@在分栏的数量为1的情况下实现。
@#@图片选中后右键,设置图片格式--版式-四周型就可以了@#@ @#@ @#@ @#@68.问:
@#@jpg文件插入word文件以后怎么让文件变小?
@#@jpg格式图片插到word文件以后文件变的巨大,有什么方法可以让它小一点?
@#@最好能一张软盘放的下。
@#@ @#@@#@ @#@ @#@ @#@答:
@#@两个方法:
@#@●用photoshop改变图片的分辨率,当然要看得清楚,然后插入word●word有强大的压缩功能,把文档另存为比如:
@#@temp.doc,看看是不是小了很多。
@#@@#@ @#@ @#@ @#@69.问:
@#@Matlab仿真图片大家一般怎么弄到word里面的?
@#@相对横轴和纵轴修改一下的说 @#@@#@ @#@ @#@ @#@答:
@#@一般都是在Matlab里面把所有的直接修改好了,然后再保存的时候用jpg格式,在word中间导入就好了@#@ @#@ @#@ @#@70.问:
@#@如何向WORD中的图片添加文本?
@#@想在图片上输入一些说明文字 @#@@#@ @#@ @#@ @#@答:
@#@插入文本框,将版式设成“悬浮”在WORD的绘图工具里面有个自选图形,找到你要的括号,直接在页面上画就可以了。
@#@可以移动,大小也可以改。
@#@然后把他挪到文字边上,即可。
@#@一个小窍门就是用CTRL+箭头可以进行微调。
@#@如果你觉得经常需要对这些文字编辑,怕图形错位的话,可以将需要的文字打在一个文本框里,记得将文本框设置成透明无色的(这样就看不见文本框了),然后将文本框和你的括号(或其他符号)组合成一个图形,就万无一失了@#@ @#@@#@ @#@@#@ @#@@#@ @#@ @#@ @#@71.问:
@#@AUTOCAD的图拷贝到WORD下如何处理?
@#@@#@ @#@ @#@ @#@答:
@#@有几种办法:
@#@一是可以在WORD中进行CAD编辑的方法:
@#@将CAD的背景设为白色,然后将CAD窗口缩小,到你想复制的图形的大小,正好可以容纳就可以了,否则WORD里面有很大的空白,然后,拷贝,选中所有的图形中的线条,右键。
@#@到WORD中粘贴。
@#@二是,先转为wmf文件,具体先将窗口缩小,如上,然后,按emport,选中线条,存储。
@#@WORD中,插入,图形,来自,文件,找到文件就可以插入了。
@#@@#@ @#@ @#@ @#@72.问:
@#@文章用WORD打开时,原有的公式全是红叉,以及WORD中图变成redcross(红叉)怎么办?
@#@@#@ @#@ @#@ @#@答:
@#@基本上没有办法挽救回来了,只能重新插一遍图。
@#@据微软的技术支持所说,红叉是由于资源不够引起的。
@#@也就是说,如果你所编辑的文档过大,可能因为资源问题导致图片无法调入,从而显示红叉。
@#@可是实际情况是,有时候所编辑的文档并不大,可是还是出现红叉。
@#@这就可能是因为你设置了快速保存,在选项菜单中可以找到。
@#@这是由WORD的文档结构所决定的。
@#@当你设置为快速保存时,每次保存的时候只是把你改动过的部分添加到文档尾部,并不重写文档本身,以达到快速的目的。
@#@所以,你会看到一个本来并不长的文档的实际大小可能有好几兆。
@#@当取消了快速保存后,文档长度将大大减小。
@#@还有一个减小红叉出现可能性的办法是把图片的属性中的"@#@浮动"@#@去掉。
@#@这样可能在编辑的时候有一定的困难,但是对于避免红叉的出现确实很灵。
@#@再说一句,一旦红叉出现了,应该是没有办法恢复的,只有再重新贴图。
@#@@#@ @#@ @#@ @#@73.问:
@#@如果Word突然定在那里了怎么办?
@#@ @#@@#@ @#@ @#@ @#@答:
@#@重新打开会回复,或者在word自身的templates里面找到近期文件,重写的不用太多。
@#@@#@ @#@ @#@ @#@74.问:
@#@如何解决word说磁盘已满不让保存的问题?
@#@ @#@@#@ @#@ @#@ @#@答:
@#@有时候,当要保存一个文件时,Word会弹出一个对话框说是磁盘空间已满,无法保存文件,可实际上磁盘上空间还很大。
@#@这是非常令人恼火的一件事情。
@#@这一信息最常见的原因是Temp文件夹已经达到了一个文件夹中可以包含的最多文件数的上限。
@#@这时的解决方法很简单:
@#@在【资源管理器】中右击安装有Windows系统的磁盘,在出现的快捷菜单中单击【属性】,将出现【属性】对话框,从【常规】选项卡中选择【磁盘清理】按钮,此时将出现【磁盘清理】对话框。
@#@执行磁盘清理完毕以后,Windows会弹出一个新的对话框。
@#@在【要删除的文件】框中选中【临时文件】选项,然后选择【确定】。
@#@Windows将删除临时文件。
@#@要人工删除临时文件,进入临时文件夹,删除任何旧的临时文件(临时文件以波浪号开始,以.tmp扩展名结束),返回Word,再次试着保存文件。
@#@如果此时还不能正确保存文档,可以采取以下的方法,步骤如下:
@#@(l)按Ctrl+A选定整个文档。
@#@
(2)按Ctrl+C将整个文档复制到内存中。
@#@(3)关闭Word程序。
@#@此时系统会提示:
@#@"@#@您将大量文本放在了'@#@剪贴板'@#@中,是否希望在退出Word后这些文本仍可用于其他程序?
@#@"@#@。
@#@(4)选择【是】按钮。
@#@(5)重新打开Word程序。
@#@(6)按Ctrl+V,将复制下来的文本粘贴到新文件中。
@#@注意:
@#@在删除临时文件时,可能会出现一个对话框,提示不能删除正在使";i:
22;s:
18990:
"RW型高压熔断器@#@跌落式熔断器是配电线路分支线和配电变压器最常见的一种短路保护开关。
@#@它具有经济、操作方便、适应户外环境性强等特点,被广泛应用于配电线路和配电变压器一次侧作为保护和进行设备投、切操作之用。
@#@安装在配电线路分支上,可缩小停电范围。
@#@因其有一个明显的断开点,具有了隔离开关的功能。
@#@带拉负荷的跌落式熔断器,还配有弹性辅助触头和灭弧罩,用以分合额定负荷电流。
@#@@#@PRW-12kV型跌落式熔断器@#@型号@#@额定电压(KV)@#@额定电流(A)@#@开断电流(kA)@#@冲击电压(BIL)@#@工频耐压(KV)@#@PRW-12/100A@#@12@#@100@#@6.3@#@110@#@42@#@PRW-12/200A@#@12@#@200@#@12.5@#@110@#@42@#@PRWG1-10kV系列跌落式熔断器@#@型号@#@额定电压(KV)@#@额定电流(A)@#@开断电流(kA)@#@冲击电压(BIL)@#@工频耐压(KV)@#@PRWG1-10F/100@#@10@#@100@#@6.3@#@110@#@42@#@PRWG1-10F/200@#@10@#@200@#@12.5@#@110@#@42@#@ @#@@#@HPRWG1系列跌落式熔断器@#@HPRWG1-12F跌落式熔断器@#@型号@#@额定电压@#@额定电流@#@开断电流@#@冲击电压@#@工频耐压@#@爬距@#@重量@#@包装尺寸组装cm@#@HPRWG1-12F@#@12@#@100@#@6300@#@125@#@45@#@380@#@5@#@55×@#@41.5×@#@14.5@#@HPRWG1-12F@#@12@#@200@#@12500@#@125@#@45@#@380@#@5.5@#@PRWG2-35kV系列跌落式熔断器@#@型号@#@额定电压(KV)@#@额定电流(A)@#@开断电流(kA)@#@冲击电压(BIL)@#@工频耐压(KV)@#@PRWG2-35/100@#@35@#@100@#@6.3@#@170@#@70@#@PRWG2-35/200@#@35@#@200@#@8.0@#@170@#@70@#@ @#@@#@PRWG2-35kV系列跌落式熔断器 @#@ @#@ @#@ @#@ @#@HPRWG2-35kV系列跌落式熔断器@#@RW3-10kV系列跌落式熔断器@#@RW3-10型户外跌落式熔断器,适用于交流50赫兹额定电压为10千伏的电力系统中,作输电线路和电力变压器的短路保护。
@#@@#@产品型号@#@额定电压(KV)@#@额定电流(A)@#@三相断流容量@#@(兆伏安)@#@工频干试电压(KV)@#@工频湿试电压(KV)@#@全波冲击电压(KV)@#@单相重量(Kg)@#@(上限)@#@(下限)@#@RW3-10/50@#@10@#@50@#@50@#@50@#@45@#@34@#@70@#@6.3@#@RW3-10/100@#@10@#@100@#@100@#@10@#@45@#@34@#@70@#@6.4@#@RW3-10/200@#@10@#@200@#@200@#@20@#@45@#@34@#@70@#@7.2@#@RW3-10型产品的主要结构件采用不锈钢或铜合金材料,结构简洁,重量轻,防腐蚀性强,安装操作方便。
@#@RW3-10Ⅰ和RW3-10Ⅱ型产品的主要结构件采用精密铸造黄铜,结构稳定可靠,防腐蚀性强,机械强度高。
@#@@#@RHW3-10系列户外高压跌落式熔断器采用双端排气结构,载熔件采用环氧玻璃钢复合钢纸管,具有机械强度高,开断容量大和能多次开断故障电流的特点。
@#@其导电结构部件采用异形铜材或铜铸件、具有充裕的导电能力并能多次整修保持接触良好。
@#@@#@ @#@ @#@@#@HRW3-10kV系列跌落式熔断器@#@型号@#@额定电压(KV)@#@额定电流(A)@#@断流容量(MVA)@#@工频干耐受压KVmsImin@#@工频湿耐受电压KV@#@上限@#@下限@#@HRW3-10/100@#@10@#@100@#@100@#@10@#@42@#@34@#@HRW3-10/200@#@10@#@200@#@200@#@20@#@42@#@34@#@RW3-12耐污型户外交流高压跌落式熔断器@#@RW3、RW11耐污型户外交流高压跌落式熔断器由绝缘子和熔管两大部分组成,在正常工作时,通过熔丝将触头的活动关节锁紧,处于合闸位置。
@#@熔丝熔断后,活动关节失去张力,熔管在自重和弹力的作用下,迅速跌落,形成明显的隔离间隙。
@#@@#@产品型号@#@额定电压@#@kV@#@额定电流@#@A@#@开断电流@#@kA(有效值)@#@三相断流容量@#@MVA@#@爬电距离@#@mm@#@重量@#@kg@#@RW3-12/100@#@12@#@100@#@8.7@#@150@#@288@#@5.7@#@RW3-12(W)/100@#@400@#@6.5@#@RW3-12/200@#@200@#@288@#@5.8@#@RW3-12(W)/200@#@400@#@6.6@#@RW4系列跌落式熔断器@#@RW4-10型户外跌落式熔断器,适用于交流50赫兹额定电压为10千伏的电力系统中,作输电线路和电力变压器的短路保护。
@#@@#@产品型号@#@额定电压(KV)@#@额定电流(A)@#@三相断流容量@#@(兆伏安)@#@工频干试电压(KV)@#@工频湿试电压(KV)@#@全波冲击电压(KV)@#@单相重量(Kg)@#@(上限)@#@(下限)@#@RW4-10/100@#@10@#@100@#@100@#@10@#@45@#@34@#@70@#@6.4@#@RW4-12型跌落式熔断器适用于6~12千伏交流50赫兹的配电电线路和电力变压器,作短路保护。
@#@@#@熔断器是由绝缘子、接触导电系统及熔断丝管等部分组成。
@#@正常工作时,熔丝使熔丝管上的活动关节锁紧,故熔丝管能处于合闸位置。
@#@当短路电流使熔丝熔断时,在熔丝管内产生电弧,熔丝管内的消弧管在电弧的作用下,产生大量气体,当短路电流过零时,产生强烈的去游离作用,使电弧熄灭,继而活动关节释放,熔丝管在上、下静触头的推力和自身重量的作用下,迅速跌落,形成明显的隔离间隙。
@#@@#@PRW4-12/100型喷射式熔断器@#@PRW4-12/100型喷射式熔断器用于10KV、交流50HZ的配电线路。
@#@作为线路和变压器的短路和过载保护。
@#@采用先进的硅橡胶绝缘子,具有防污闪性能好、免维修、绝缘水平高、体积小、重量轻、耐高低温、抗老化等优点。
@#@单端排气结构,其特点是开断中小故障电流稳定,性能优良。
@#@采用先进的纽扣式熔丝与跌落机构配合,保证自落熔丝在正常情况下的自锁和动作时的灵活可靠。
@#@@#@PRW4-12/100型喷射式熔断器结构件主要采用黄铜压铸而成,从而保证了尺寸准确、外型美观的特点;@#@主要导电通道部件均采用表镀银处理,具有优越的导电能力。
@#@@#@型号@#@额定电压(kV)@#@额定电流(A)@#@开断电流(kA)@#@配用熔丝额定电流(A)@#@PRW4-12/100@#@12@#@100@#@6.3@#@10,15,25,50,75,100@#@PRW4-12/200@#@12@#@200@#@12.5@#@125,500,175,200@#@RW5-35kV系列跌落式熔断器@#@HRW5-35kV系列跌落式熔断器@#@型号@#@额定电压(KV)@#@额定电流(A)@#@三相开断流容量(MVA)@#@工频干耐受电压KV@#@工频湿耐受电压KV@#@除熔丝管上的导电部份允许温升℃@#@上限@#@下限@#@HRW5-35/100@#@35@#@100@#@400@#@10@#@105@#@185@#@60@#@HRW5-35/200@#@35@#@200@#@800@#@30@#@105@#@185@#@60@#@RW6G-60型户外高压跌落式熔断器@#@RW6G-60型户外高压跌落式熔断器,适用于60kV、50Hz的输电线路和变压器的短路保护与过负荷保护,在一定条件下可以切合空载变压器、空载线路及小负荷电流。
@#@@#@型号@#@RW6G-60/100-500@#@RW6G-60/100-800@#@额定电压(kV)@#@66@#@额定电流(A)@#@100@#@断滚容量@#@(三相MVA)@#@上限@#@对称开断容量@#@500@#@800@#@全开断容量@#@600@#@1000@#@下限@#@20@#@ @#@@#@开断负荷电流(A)@#@100~200@#@消弧管尺寸(mm)@#@Φ13+1×@#@Φ23×@#@1025@#@Φ17+1×@#@Φ27×@#@1025@#@保护管尺寸(mm)@#@Φ23×@#@Φ36×@#@1025@#@Φ27×@#@Φ42×@#@1025@#@切空载变压器的最大容量(kVA)@#@10000~15000@#@切空载线路的长度(km)@#@100@#@单相重量(kg)@#@120@#@动作原理:
@#@RW6G-60型户外高压跌落式熔断器主要由上静触头、上动触头、熔管、下动触头、下静触头及棒型绝缘子组成。
@#@在正常工作时,熔丝使熔管和动触头间的活动关节锁紧,故熔管在上静触头压力下处于合闸位置。
@#@当熔丝熔断时,在熔管内产生电弧,熔管内衬的消弧管在电弧作用下产生大量气体,在电流过零时产生强烈的游离作用,使电弧熄灭。
@#@由于熔丝熔断,活动关节继而释放,使熔管下垂并在上下弹性触头的推力下和熔管本身重量作用下迅速跌落,形成明显的隔离间隙。
@#@@#@RW7-10系列跌落式熔断器@#@RW7-10型户外跌落式熔断器,适用于交流50赫兹额定电压为10千伏的电力系统中,作输电线路和电力变压器的短路保护。
@#@@#@产品型号@#@额定电压(KV)@#@额定电流(A)@#@三相断流容量@#@(兆伏安)@#@工频干试电压(KV)@#@工频湿试电压(KV)@#@全波冲击电压(KV)@#@单相重量(Kg)@#@(上限)@#@(下限)@#@RW7-10/100@#@10@#@100@#@100@#@10@#@45@#@34@#@70@#@6@#@RQ7-10/200@#@10@#@200@#@200@#@20@#@45@#@34@#@70@#@6.3@#@HRW7-10系列跌落式熔断器@#@产品型号@#@HRW7-10/100@#@HRW7-10/200@#@额定电压(kV)@#@10@#@10@#@额定电流(A)@#@100@#@200@#@断流容量(MVA)@#@上限@#@100@#@200@#@下限@#@30@#@30@#@工频干耐受电压(kV)@#@42@#@42@#@工频湿耐受电压(kV)@#@34@#@34@#@RW8系列跌落式熔断器@#@RW8-10X/100-12.5型熔断器适用于交流10KV的配电线路和配电变压器的过载和短路保护,尤其适合油田使用。
@#@熔断器采用双断开与接触的内插式结构,有效地解决了接合操作过程中的弧光短路,将悬标与熔丝结合,更换方便,且将顶盖密封,提高了抗风、沙、雨、雪的能力,完全消除恶劣气候的影响下,熔断跌落断开的现象。
@#@@#@RW10-10kV系列跌落式熔断器@#@型号@#@额定电压(KV)@#@额定电流(A)@#@开断电流(kA)@#@冲击电压(BIL)@#@工频耐压(KV)@#@RW10-10F/100@#@10@#@100@#@6.3@#@110@#@42@#@RW10-10F/200@#@10@#@200@#@12.5@#@110@#@42@#@ @#@ @#@@#@HRW10-10FkV系列跌落式熔断器@#@型号@#@额定电压(KV)@#@额定电流(A)@#@开断电流@#@(kA)@#@冲击电压(BIL)@#@工频耐压(KV)@#@HRW10-10F/100@#@10@#@100@#@6.3@#@110@#@42@#@HRW10-10F/200@#@10@#@200@#@12.5@#@110@#@42@#@RW10-10F系列跌落式熔断器@#@RW10-10F、RM10-10F(M)型熔断器适用于交流10千伏的配电线路和配电变压器的过载和短路保护以分合额定荷电流之用,RW10-10F型为一型产品,其泄漏比距为2.2。
@#@RW10-10F(M)型为防盐雾型产品,泄漏比距3.3,适用于高污秽地区使用,技术参数与10F型相同。
@#@@#@RW10-10F系列跌落式熔断器结构特征:
@#@@#@熔断器是由基座和消弧装置两大部分组成,工作触头设计为桥形结构,灭弧管下端装有能转动的弹簧支架,始终使熔丝处于紧张状态,以保证灭弧管在合闸位置时的自锁,线路或变压器过载或短路时熔丝熔断,弹簧支架在扭簧的作用下,迅速将熔丝从灭弧管中抽出。
@#@以减少燃弧时间和灭弧材质的消耗。
@#@@#@ @#@熔断管采用逐级排气结构,在开断小故障电流时,由于上端封闭,形成单端排气,使管内保持较大的压力,以利熄灭小故障电流,在开断大短路电流时,上端被冲开形成两端排气,以减少管内压力,防止在开断大短路电流时熔管为机械破坏,故本产品能可靠的开断上限断流容量至下限流容量至下限断流容量之间的任何电流。
@#@@#@熔断器装有灭弧室和弧触头,可分、合额定负荷电流,起到负荷开关的作用,分、合操作时使电弧在弧触头上产生、在灭弧室内熄灭,以保护工作触头不受电弧烧伤,灭弧室是采用新型工程塑料压制而成。
@#@@#@1.上接线卡板 @#@ @#@2.上静触头 @#@ @#@3.释压帽 @#@ @#@4.上触头 @#@ @#@5.消弧管 @#@ @#@ @#@6.下触头@#@7.下触头 @#@ @#@ @#@ @#@8.下接线卡板 @#@ @#@ @#@ @#@9.瓷瓶 @#@ @#@10.安装板 @#@ @#@@#@型号@#@额定电压(KV)@#@最高工作@#@电压(KV)@#@额定电流(A)@#@额定断流容量(兆伏安)@#@单相重量(kg)@#@上限@#@下限@#@RW10-10F/100A型@#@10@#@11.5@#@100@#@200@#@40@#@7.0@#@RMWF/100A-2型(防盐雾型)@#@9.6@#@RW10-12FV系列户外高压跌落式熔断器-负荷开关装置组合@#@RW10-12FV系列户外高压跌落式熔断器-负荷开关装置组合熔件采用逐级排气结构当开断枝小的故障电流时产生的引l @#@由下端排气口排出当开断大的短路电流时引刁冲开上端释压片实现上下端排气口同时排气以清足在同熔断器上能开断大小不同电流的要求特殊设计的灭弧室和灭弧刀提供了合分负荷电流的可能。
@#@@#@型号@#@额定电压@#@最高工作电压@#@额定电流@#@额定开断电流@#@kV@#@熔断器@#@配用熔丝@#@RW10-12F/100@#@12@#@12@#@100@#@6~100@#@6.3@#@RW10-12FⅠ/100@#@RHW10-12FIV/100@#@RW10-12FⅠ/200@#@12@#@12@#@200@#@75~200@#@12.5@#@RW10-12F/200@#@RHW10-12FIV/200@#@HRW10-35kV系列跌落式熔@#@型号@#@额定电压(KV)@#@额定电流(A)@#@开断电流(kA)@#@冲击电压(BIL)@#@工频耐压(KV)@#@HRW10-35F/100@#@35@#@100@#@6.3@#@170@#@70@#@HRW10-35F/200@#@35@#@200@#@8.0@#@170@#@70@#@PRW10,PRHW10系列户外高压跌落式熔断器@#@PRW10,PRHW10系列户外高压跌落式熔断器适用于交流50Hz,额定电压为10kV~35kV的户外架空配电系统上,作为线路或电力变压器的过载和短路保护用。
@#@个别产品还具有合、分负荷电流的功能。
@#@产品在正常动作或操作后,载熔件跌落,形成可见断口,对维护检修起到安全保证作用。
@#@@#@PRHW□-□□□/□@#@│││││││││@#@││││││││└─额定电流A@#@│││││││└──罗马数字Ⅰ或Ⅱ表示是改进型@#@││││││└───F:
@#@合、分负荷电流功能B:
@#@避雷器组合@#@│││││└────额定电压@#@││││└──────设计序号@#@│││└───────户外使用@#@││└────────硅橡胶绝缘子,无“H”,则为瓷绝缘子@#@│└─────────熔断器@#@└──────────喷射式@#@产品特点:
@#@产品采用单端排气结构,能保证从过载直至短路的故障电流的可靠开断,同时能防止在开断过程中,对熔断器安装部位上方的线路或设备的损害。
@#@上触座对载熔件的上触头施以正压力,有效保证了导电接触良好,开断后载熔件可靠跌落。
@#@特殊的接线端子结构能方便固定各种导线,使用一把扳手,单手即可完成接线操作。
@#@专门设计的叉钩能有效将载熔件导入合闸位置,同时也为使用携带式负荷切断器提供了可能性。
@#@@#@型号@#@额定电压@#@额定电流@#@额定开断电流@#@kV@#@熔断器@#@配用熔丝@#@PRW10-12/100@#@12@#@100@#@6~100@#@8@#@PRHW10-12/100@#@PRW10-12/200@#@200@#@75~200@#@10~12.5@#@PRW10-(12-27)/100@#@15~27@#@100@#@6~100@#@8@#@PRW10-(12-27)/200@#@200@#@75~200@#@10~12.5@#@PRW10-40.5/100@#@40.5@#@100@#@6~100@#@6.3~8@#@RW11-10kV系列跌落式熔断器@#@型号@#@额定电压(KV)@#@额定电流(A)@#@开断电流(kA)@#@冲击电压(BIL)@#@工频耐压(KV)@#@RW11-10/100@#@10@#@100@#@6.3@#@110@#@42@#@RW11-10/200@#@10@#@200@#@12.5@#@110@#@42@#@RW11耐污型户外交流高压跌落式熔断器由绝缘子和熔管两大部分组成,在正常工作时,通过熔丝将触头的活动关节锁紧,处于合闸位置。
@#@熔丝熔断后,活动关节失去张力,熔管在自重和弹力的作用下,迅速跌落,形成明显的隔离间隙。
@#@@#@产品型号@#@额定电压@#@kV@#@额定电流@#@A@#@开断电流@#@kA(有效值)@#@三相断流容量@#@MVA@#@爬电距离@#@mm@#@重量@#@kg@#@RW11-12/100@#@12@#@100@#@8.7@#@150@#@400@#@7.2@#@RW11-12/200@#@200@#@RW11-10F系列跌落式熔断器@#@ @#@ @#@@#@HRW11-10kV系列跌落式熔断器@#@型号@#@额定电压(KV)@#@额定电流(A)@#@开断电流(kA)@#@冲击电压(BIL)@#@工频耐压(KV)@#@HRW11-10/100@#@10@#@100@#@6.3@#@100@#@42@#@HRW11-10/200@#@10@#@200@#@12.5@#@110@#@42@#@PRW11-2跌落式熔断器@#@RW12-12KV型出口跌落式熔断器@#@PRW12跌落式熔断器@#@PRW12跌落式熔断器及拉负荷跌落式熔断器是户外高压保护电器。
@#@它装置在配电变压器高压侧或配电线支干线路上、用作变压器和线路的短路、过载保护及分、合负荷电流。
@#@跌落式熔断器由绝缘支架和熔丝管二部分组成,静触头安装在绝缘支架两端,动触头安装在熔丝管两端,熔丝管由内层的消弧管和外层的酚醛纸管或环氧玻璃布管组成。
@#@拉负荷跌落式熔断器增强弹性辅助触头及灭弧罩,用以分,合负荷电流。
@#@@#@ @#@跌落式熔断器在正常运行时,熔丝管借助熔丝张紧后形成闭合位置。
@#@当系统发生故障时,故障电流时熔丝迅速熔断,并形成电弧,消弧管受电弧灼热,分解出大量的气体,使馆内形成很高压力,并沿管道形成纵吹,电弧被迅速拉长而熄灭。
@#@熔丝熔断后,下部动触头失去张力二下翻,锁紧机械,释放熔丝管,熔丝管跌落,形成明显的开断位置。
@#@当需要拉负荷时,用绝缘杆拉开动触头,此时主动、静动触头仍然接触,继续用绝缘杆拉动触头,辅助触头也分离,在辅助触头之间产生电弧,电弧在灭罩狭缝中被拉长,同时灭弧罩产生气体,在电流过零时,将电弧熄灭。
@#@@#@PRW12跌落式熔断器高压熔断器适用于35kv及以下电压频率50Hz电力系统中,作输电线路、电力变压器过载和短路保护,分合额定负荷电流只用,机械寿命≥2000次。
@#@@#@熔断器是由基座和消弧装置两大部分组成,灭弧管下端装有可能转动的弹簧支架,始终使熔丝处于紧张状态,以保证灭弧管在合闸位置的自锁,线路与变压器过载或短路中,熔丝熔断,熔丝迅速从灭弧管中抽出,灭弧管设计为逐级排气式解决在同一熔断器上开断大小电流的矛盾。
@#@@#@型号@#@额定电压kv@#@额定电流A@#@开断电流kA@#@冲击电压BIL@#@工频耐压kv@#@PR12W-10/100@#@12@#@100@#@6.3@#@110@#@42@#@PR12W-10/200@#@12@#@200@#@12.5@#@110@#@42@#@编号No.@#@部件名称@#@编号No.@#@部件名称@#@1@#@背板@#@7@#@上触头@#@2@#@长螺杆@#@8@#@附属钩@#@3@#@安装支架@#@9@#@操作环@#@4@#@瓷件(或陶瓷绝缘子)@#@10@#@熔丝管@#@5@#@接线座@#@11@#@套环接头@#@6@#@上支座@#@12@#@下支座@#@RW33-10/RHW33-10系列户外高压跌落式熔断器@#@本产品熔管采用环氧玻璃钢复合钢纸管,具有机械强度高、开断容量大和能多次开断故障电流等特点。
@#@@#@主要结构件均采用精密铸造黄铜,结构稳定可靠,防腐蚀性强,机械强度高。
@#@导电结构经专门设计,具有充裕的导电能力,并能多次整修保持良好接触。
@#@@#@型号@#@额定电压@#@最高工作电压@#@额定电流@#@额定开断电流@#@kV@#@熔断器@#@配用熔丝@#@RW33-10@#@10@#@12@#@100@#@7.5~100@#@5@#@RHW33-10@#@熔断器型号汇总@#@";i:
23;s:
14338:
"最新推荐关于ppp实施方案的意见优秀word范文14页@#@本文部分内容来自网络整理,本司不为其真实性负责,如有异议或侵权请及时联系,本司将立即删除!
@#@@#@==本文为word格式,下载后可方便编辑和修改!
@#@==@#@关于ppp实施方案的意见@#@篇一:
@#@xxx工程PPP项目实施方案(word文档)@#@xxx工程PPP项目@#@实施方案@#@二O一五年@#@1.项目概况.....................................................................................................................................4@#@2.PPP运作的必要性和可行性...................................................................................................4@#@2.1.PPP运作的必要性...........................................................................................................4@#@2.2.PPP运作的可行性...........................................................................................................5@#@3.风险分配框架...........................................................................................................................5@#@3.1.风险分配原则...................................................................................................................5@#@3.2.政府与社会资本间的风险分配机制...............................................................................6@#@4.PPP运作方式..............................................................................................................................6@#@5.项目交易结构.............................................................................................................................6@#@5.1.投融资结构.......................................................................................................................6@#@5.1.1投资结构.................................................................................................................7@#@5.1.2融资安排.................................................................................................................7@#@5.1.3.资产权属................................................................................................................8@#@5.2.回报机制...........................................................................................................................8@#@5.2.1.项目回报机制........................................................................................................8@#@5.2.2.股东回报机制........................................................................................................9@#@5.2.3.激励相容机制........................................................................................................9@#@5.3.相关配套安排...................................................................................................................9@#@6.项目合同结构...........................................................................................................................10@#@6.1.PPP合同.........................................................................................................................10@#@6.1.1.项目授权、经营期和业务范围..........................................................................10@#@6.1.2.各方的基本权利和义务......................................................................................10@#@6.1.3.项目前期工作及费用承担..................................................................................11@#@6.1.4.项目土地使用权..................................................................................................11@#@6.1.5.项目法人制..........................................................................................................12@#@6.1.6.项目设施所有权..................................................................................................12@#@6.1.7.项目投资总额及相关..........................................................................................12@#@6.1.8.项目融资..............................................................................................................12@#@6.1.9.项目建设及相关..................................................................................................12@#@6.1.10.项目设施运营....................................................................................................13@#@6.1.11.考核标准及要求................................................................................................14@#@6.1.12.服务费的计算、支付及调价机制....................................................................16@#@6.1.13.财政补贴及激励相容机制................................................................................16@#@6.1.14.履约保函............................................................................................................17@#@6.1.15.股权转让限制....................................................................................................17@#@6.1.16.项目设施期满移交............................................................................................18@#@6.1.17.保险....................................................................................................................18@#@6.1.18.提前终止............................................................................................................18@#@6.2.合资协议及公司章程.....................................................................................................19@#@6.2.1.合资公司名称、住所、组织形式、合资期限、公司经营范围等..................19@#@6.2.2.注册资本、投资总额、股权比例及出资方式等财务事项..............................19@#@6.2.3.股东会及议事机制..............................................................................................20@#@6.2.4.董事会构成及议事机制......................................................................................20@#@6.2.5.经营管理团队......................................................................................................20@#@6.2.6.监事会的构成及议事机制..................................................................................20@#@6.2.7.股东权益分配机制..............................................................................................20@#@7.核心边界条件...........................................................................................................................21@#@7.1.权利义务边界.................................................................................................................21@#@7.2.交易商务条件.................................................................................................................21@#@7.3.履约保障机制.................................................................................................................21@#@7.3.1.强制保险方案......................................................................................................21@#@7.3.2.履约担保体系......................................................................................................22@#@7.3.3.项目资本金..........................................................................................................22@#@7.3.4.设立建设资金专项账户......................................................................................22@#@7.3.5.建设进度及质量保障..........................................................................................22@#@7.4.调整衔接机制.................................................................................................................22@#@7.4.1.应急预案..............................................................................................................22@#@7.4.2.临时接管..............................................................................................................22@#@7.4.3.提前终止及补偿..................................................................................................22@#@7.4.4.合同变更..............................................................................................................22@#@7.4.5.合同展期..............................................................................................................23@#@7.4.6.改扩建或提标......................................................................................................23@#@8.监管管理及相关.........................................................................................................................23@#@9.PPP采购方式............................................................................................................................23@#@10.PPP项目实施计划和责任分工..............................................................................................23@#@1.项目概况@#@根据安庆市发展改革委《关于安庆市外环北路(机场大道-皖江大道)工程可行性研究报告的批复》,同意新建安庆市外环北路工程项目(以下简称“本项目”)。
@#@项目基本情况如下:
@#@外环北路位于安庆市东、北部,是安庆市中心城区主干路系统的重要组成部分,也是贯穿西北-东南城区的主要干道,本段起点位于机场大道西侧500米,终点位于皖江大道交口,道路设计全长约14.93公里(桥隧比为28.68%),道路等级为城市主干路,设计速度60km/h,设计标准轴载为BZZ-100,荷载等级为城市-A级。
@#@本项目按工程量清单方式计价,工程建设投资部分控制价为15.26亿元人民币,另含4.5亿元人民币包干工程建设其他费用,共计19.76亿元人民币。
@#@目前,本项目施工图已完成,征地拆迁工作也已启动。
@#@@#@为进一步创新投融资机制,加速城镇化进程,鼓励和引导社会资本参与城市基础设施建设,根据宜政办秘【201X】126号《安庆市人民政府办公室关于成立安庆市城市基础设施PPP试点工作领导小组的通知》及宜政办【201X】112号《研究城市基础设施PPP项目有关工作》的规定,批准成立了由市政府、市住建委、市发改委、市财政局、市国土局、市审计局等共同组成的PPP项目工作领导小组,并授权市住建委作为本项目实施机构,具体负责本项目的实施;@#@同时授权市城投公司作为本项目政府方出资代表。
@#@未来由项目公司具体负责外环北路工程的投资、融资、建设及运营维护等。
@#@@#@2.PPP运作的必要性和可行性@#@2.1.应用PPP模式的必要性@#@1、43号文重点推行PPP模式。
@#@为加强地方政府性债务管理,国发〔201X〕43号文《国务院关于加强地方政府性债务管理的意见》提出“修明渠、堵暗道”,即通过融资平台公司为建设项目融资的“暗道”被堵,政府债务将不得通过企业举借,企业债务也不得推给政府偿还,切实做到谁借谁还、风险自担;@#@所修的两类“明渠”中,除了发行地方政府债券,便是推广使用政府与社会资本合作(PPP)@#@模式。
@#@@#@2、PPP模式至少可为本项目带来以下几点优势:
@#@@#@
(1)平滑政府财政支出,增加公共交通设施供给。
@#@市政道路项目前期资@#@本性投入大,通过引入社会资本,可将短期建设支出转化为合作期内的分期支付可用性服务费和运维绩效服务费,有利于平滑财政支付资金压力、加快公共交通基础设施建设。
@#@
(2)优化项目风险分配,降低全寿命周期成本,提高公共交通服务效率。
@#@@#@PPP模式提倡“让专业的人做专业的事”,本项目施工及运营管理的专业化要求较高,更适合引入专业化的社会资本来负责设计、投资、融资、建设和运营维护。
@#@同时,在风险最优分配的激励约束机制下,社会资本也有足够动力,统筹考虑项目的设计方案、建设质量和后续运营维护成本,在保障服务质量的前提下切实降低项目全生命周期的成本,提高自身收益水平。
@#@@#@(3)有利于转变政府职能。
@#@PPP模式要求政府在与社会资本合作中“既@#@不越位也不失位”,一方面要遵循市场原则和契约精神,切实履行义务、承担相应风险;@#@另一方面在加强项目规划、筛选和评估的同时,通过建立和落实基于绩效的考核机制,加强对社会资本的监管,切实保障公共产品和服务质量得到改善,达到物有所值。
@#@@#@2.2.PPP运作的可行性@#@
(1)符合适用法律规定。
@#@除了早先实施的《政府采购法》、住建部第126号@#@令《市政公用事业特许经营管理办法》等法规政策,201X年财政部和发改委集中发布了一系列规范性文件和操作指引,包括但不限于:
@#@财金@#@[201X]76号《关于推广运用政府和社会资本合作模式有关问题的通知》、财金〔201X〕113号《关于印发政府和社会资本合作模式操作指南(试行)的通知》、发改投资[201X]2724号《关于开展政府和社会资本合作@#@篇二:
@#@PPP项目实施方案@#@XXX市xxxxx@#@棚户区改造建设项目@#@PPP实施方案@#@(第五次修改稿)@#@xxxxx工程技术股份有限公司@#@二〇一五年月@#@第1页/共35页@#@XXX市xxxxx@#@棚户区改造建设项目@#@项目主管领导:
@#@@#@审核人:
@#@@#@编制人:
@#@@#@xxxxx工程技术股份有限公司@#@二〇一五年月@#@第2页/共35页@#@一、项目概况................................................................5@#@
(一)基本情况......................................................................5@#@
(二)经济技术指标..............................................................8@#@(三)项";i:
24;s:
32082:
"届高三第三次调研测试语文Ⅰ试题一语言@#@2013届高三第三次调研测试@#@语文Ⅰ试题@#@一、语言文字运用(15分)@#@1.下列词语中加点的字,每对读音都不相同的一组是(3分)@#@A.轶事/卷帙浩繁 @#@ @#@ @#@ @#@ @#@旖旎/倚马可待 @#@ @#@ @#@ @#@ @#@贮藏/凝神伫立@#@B.狭隘/挟山超海 @#@ @#@ @#@ @#@ @#@拾掇/缀文成篇 @#@ @#@ @#@ @#@ @#@契约/锲而不舍@#@C.赡养/瞻前顾后 @#@ @#@ @#@ @#@ @#@龃龉/含英咀华 @#@ @#@ @#@ @#@ @#@脉络/恪尽职守 @#@ @#@ @#@ @#@ @#@@#@D.案牍/买椟还珠 @#@ @#@ @#@ @#@ @#@栈桥/践约守诺 @#@ @#@ @#@ @#@ @#@酝酿/琳琅满目@#@2.下列各句子中,没有语病的一项是(3分)@#@A.3月份,中央电视台等主流媒体先后对苹果公司售后服务的“双重标准”提出质疑,这让苹果公司陷入了入华以来最大的舆论危机。
@#@@#@B.专家提醒,人感染H7N9禽流感起病的48小时内可以服用达菲进行治疗是有效的,而以为服用板蓝根能预防禽流感的观点存在误区。
@#@@#@C.青歌赛经过多年的实践和不断创新,已成为普及音乐知识、发现和推出声乐人才、引领和推动中国声乐事业发展繁荣的重要舞台。
@#@@#@D.对于“五一”小长假期间全国各地旅游景点门票是否涨价的问题,国家旅游局新闻发言人在近期召开的信息发布会上已予以确认。
@#@@#@3.下面这段文字是从哪三个方面指出儒、道“离异而对立”的?
@#@请简要概括,不超过20个字。
@#@(4分)@#@儒、道是离异而对立的。
@#@一个入世,乐观进取;@#@一个出世,消极退避。
@#@如果说荀子强调的是“性无伪则不能自美”,那么庄子强调的却是“天地有大美而不言”;@#@前者强调艺术的人工制作和外在功利,后者突出的是自然,即美和艺术的独立。
@#@如果前者由于以其狭隘实用的功利框架,经常造成对艺术和审美的束缚、损害和破坏;@#@那么后者则恰恰给予这种框架和束缚以强有力的冲击、解脱和否定。
@#@@#@4.下面是一位高三学生毕业时写给自己老师的一封信。
@#@请从语言的简明、连贯、得体等角度加以修改。
@#@(5分)@#@敬爱的老师:
@#@@#@您好!
@#@您渊博的知识启迪我的智慧,您关怀的目光滋润我的心田,我的人生一直受到您亲切话语的激励。
@#@我的成长离不开您无微不至的悉心培养。
@#@……虽然我毕业了,但您永远是我的老师,您的教导将永远铭刻在我的心里。
@#@我会经常光临寒舍看望您的。
@#@@#@祝您工作顺利,桃李满园!
@#@@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@学生:
@#@ⅹⅹⅹ@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ⅹ月ⅹ日@#@二、文言文阅读(19分)@#@阅读下面的文言文,完成5~8题。
@#@@#@金濂,字宗瀚,山阳人。
@#@永乐十六年进士,授御史。
@#@尝言郡县吏贪浊,宜敕按察司、巡按御史察廉能者,如洪武间故事,遣使劳赉,则清浊分,循良劝。
@#@帝嘉纳之。
@#@用荐迁陕西副使。
@#@@#@正统元年上书请补卫所缺官,益宁夏守兵,设汉中镇守都指挥使,多议行。
@#@三年擢佥都御史,参赞宁夏军务。
@#@濂有心计,善筹画,西陲晏然。
@#@时诏富民输米助边,千石以上褒以玺书。
@#@濂言边地粟贵,请并旌不及额者,储由此充。
@#@@#@福建贼邓茂七等为乱,都督刘聚、都御史张楷征之,不克。
@#@十三年十一月大发兵,命宁阳侯陈懋等为将军往讨,以濂参军务。
@#@比至,御史丁瑄已大破贼。
@#@茂七死,余贼拥其兄子伯孙据九龙山,拒官军。
@#@濂与众谋,羸师诱之出,伏精兵,入其垒,遂擒伯孙。
@#@帝乃移楷讨浙寇,而留濂击平余贼未下者。
@#@会英宗北狩,兵事棘,召还。
@#@言者交劾濂无功,景帝不问,加濂太子宾客,给二俸。
@#@寻改户部尚书,进太子太保。
@#@@#@时四方用兵,需饷急,濂综核无遗,议上撙节便宜十六事,国用得无乏。
@#@未几,上皇还。
@#@也先请遣使往来如初,帝坚意绝之。
@#@濂再疏谏,不听。
@#@初,帝即位,诏免景泰二年天下租十之三。
@#@濂檄有司,但减米麦,其折收银布丝帛者征如故。
@#@三年二月,学士江渊以为言,命部查理。
@#@濂内惭,抵无有。
@#@给事中李侃等请诘天下有司违诏故。
@#@濂恐事败,乃言:
@#@“银布丝帛,诏书未载,若概减免,国用何资?
@#@”于是给事中御史劾濂失信于民,为国敛怨,且讦其阴事。
@#@帝欲宥之,而侃与御史王允力争,遂下都察院狱。
@#@越三日释之,削宫保,改工部。
@#@吏部尚书何文渊言理财非濂不可,乃复还户部。
@#@濂上疏自理,遂乞骸骨,帝慰留之。
@#@东宫建,复宫保。
@#@寻复条上节军匠及僧道冗食共十事。
@#@五年卒官,以军功追封沭阳伯,谥荣襄。
@#@@#@濂刚果有才,所至以严办称,然接下多暴怒。
@#@在刑部持法稍深。
@#@及为户部,值兵兴财诎,颇厚敛以足用云。
@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@(选自《明史•列传四十八》,有删节)@#@【注】明正统十四年,蒙古瓦剌部落在太师也先的率领下进攻明朝。
@#@英宗御驾亲征,战败被俘。
@#@英宗之弟朱祁钰即位(史称明景帝),改元景泰,并尊英宗为太上皇。
@#@景泰元年,英宗被明廷迎回。
@#@@#@5.对下列句子中加点词的解释,不正确的一项是(3分)@#@A.西陲晏然 @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@晏:
@#@欢乐@#@B.羸师诱之出 @#@ @#@ @#@ @#@ @#@ @#@ @#@羸:
@#@瘦弱@#@C.帝欲宥之 @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@宥:
@#@宽恕@#@D.值兵兴财诎 @#@ @#@ @#@ @#@ @#@ @#@ @#@诎:
@#@匮乏 @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@@#@6.下列句子中,全都表现金濂“善筹画”的一组是(3分)@#@①请补卫所缺官,益宁夏守兵 @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@②千石以上褒以玺书@#@③伏精兵,入其垒 @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@④议上撙节便宜十六事@#@⑤其折收银布丝帛者征如故 @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@⑥寻复条上节军匠及僧道冗食共十事@#@A.①②④ @#@ @#@ @#@ @#@ @#@ @#@ @#@B.①③⑤ @#@ @#@ @#@ @#@ @#@ @#@ @#@C.②⑤⑥ @#@ @#@ @#@ @#@ @#@ @#@ @#@D.③④⑥@#@7.下列对原文有关内容的概括和分析,不正确的一项是(3分)@#@A.面对地方官员贪污腐败的现象,金濂建议朝廷派遣中央官员到地方考察吏治,这一建议得到皇上的赞许和采纳。
@#@@#@B.英宗被俘,战事紧急,金濂被召回京城,尽管谏官纷纷弹劾他讨贼无功,景帝还是力排众议对他加以重用。
@#@@#@C.英宗回京后,也先请求恢复与明王朝的邦交,金濂也上书朝廷表示赞同,但他们的意见都遭到景帝的拒绝。
@#@@#@D.景帝即位后曾下诏免除天下十分之三的租税,金濂违诏,受到调查,核实后被关进监狱,但很快获释并改任他职。
@#@@#@8.把文中画线的句子翻译成现代汉语。
@#@(10分)@#@ @#@⑴如洪武间故事,遣使劳赉,则清浊分,循良劝。
@#@(4分)@#@ @#@⑵银布丝帛,诏书未载,若概减免,国用何资?
@#@(3分)@#@ @#@⑶濂刚果有才,所至以严办称,然接下多暴怒。
@#@(3分)@#@三、古诗词鉴赏(10分)@#@9.阅读下面这首宋词,然后回答问题。
@#@@#@惜余春@#@贺铸@#@急雨收春,斜风约水,浮红涨绿鱼文起。
@#@年年游子惜余春,春归不解招游子。
@#@@#@留恨城隅,关情纸尾,阑干长对西曛倚。
@#@鸳鸯俱是白头时,江南渭北三千里。
@#@@#@【注】西曛:
@#@斜阳。
@#@@#@⑴从全词看,词人的情感经历了怎样的变化?
@#@(3分)@#@⑵后人评价“春归不解招游子”有“无理之趣”,请对此作简要分析。
@#@(3分)@#@⑶请简要赏析“鸳鸯俱是白头时,江南渭北三千里”这两句的艺术特色。
@#@(4分)@#@四、名句名篇默写(8分)@#@10.补写出下列名句名篇中的空缺部分。
@#@@#@⑴ @#@ @#@ @#@ @#@ @#@ @#@▲ @#@ @#@ @#@ @#@ @#@,以手抚膺坐长叹。
@#@(李白《蜀道难》)@#@⑵白头搔更短, @#@ @#@ @#@ @#@ @#@ @#@▲ @#@ @#@ @#@ @#@ @#@。
@#@(杜甫《春望》)@#@⑶东船西舫悄无言, @#@ @#@ @#@ @#@ @#@ @#@▲ @#@ @#@ @#@ @#@ @#@。
@#@(白居易《琵琶行》)@#@⑷山水之乐, @#@ @#@ @#@ @#@ @#@ @#@ @#@▲ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@。
@#@(欧阳修《醉翁亭记》)@#@⑸举酒属客,诵明月之诗, @#@ @#@ @#@ @#@ @#@ @#@▲ @#@ @#@ @#@ @#@ @#@。
@#@(苏轼《赤壁赋》)@#@⑹元嘉草草, @#@ @#@ @#@ @#@▲ @#@ @#@ @#@ @#@,赢得仓皇北顾。
@#@(辛弃疾《永遇乐•京口北固亭怀古》)@#@⑺博学而笃志, @#@ @#@ @#@ @#@ @#@ @#@▲ @#@ @#@ @#@ @#@ @#@,仁在其中矣。
@#@(《论语•子张》)@#@⑻ @#@ @#@ @#@ @#@ @#@ @#@▲ @#@ @#@ @#@ @#@ @#@ @#@,成由勤俭败由奢。
@#@(李商隐《咏史》)@#@五、现代文阅读:
@#@文学类文本(20分)@#@阅读下面的作品,完成11~14题。
@#@@#@雪中六盘@#@张承志@#@①我感谢六盘山。
@#@@#@②离开沙沟乡和西吉滩,离开了头戴六角帽的哲合忍耶回民的黄土山庄,在大雪纷扬中,我们穿过了一片片斑驳错落的村寨,来到了单家集。
@#@一罐茶只喝了一口,我们便又穿过杨茂、姚杜,在暮色中的好水川旁冻硬的土道上,急急地前进了。
@#@白雪使晚暮中的好水两岸依然明亮,干燥的雪在脚下“喳喳”作响,迎接着我们的到来。
@#@一路小村瓦顶的高房静静地屹立在庄院一角,切开的山坡上偶有一排废弃的窑洞,仿佛大山的眼睛,含情脉脉地注视着我这个多年前的老友。
@#@我们踏着硬硬的薄雪,体味着这一切,继续走向这苍茫雪谷的纵深处。
@#@背上行装迈开大步,搭上手扶拖拉机快速前行,我们的心已在六盘。
@#@@#@③人间的事就是这样,当一切都已远逝,当新的世界像江河浪涛一样卷持着自己浮沉而下的时候,人们有时会回忆起一个遥远的印象。
@#@随着成年,随着见识和缺憾的积累,人们会开始懂得这印象、这心境的可贵。
@#@因为它只这么闪烁一瞬,然后就消失,就熄灭,就永远失而不得了。
@#@它在消失和熄灭的时候,带走了你的一份青春和历史,当你知道已经真的失去了它的时候,你会感到额头上又添了一道皱纹,你的生命又衰老了一分。
@#@@#@④我感谢六盘山。
@#@因为在我顺着它腰肢的崎岖小道向上攀登时,它为我唤醒了已经沉睡了的一个印象。
@#@那是一个十八岁的我,背负着六十斤重的行囊,在岷山山地的一座高山上行走的印象。
@#@那一天,我和一个背着一篓煤炭的农民并肩走在大雪覆盖的岷山道上。
@#@那农民被压弯的背和煤灰染黑的流淌着道道汗水的脸,还有那双在黑污中朝我闪着善良憨实的目光的眼睛,曾经给年轻的我带来过撞击般的感触。
@#@从那以后近二十年过去了,荒凉的岷山道,雪封的远山近村,脚上的冻伤和背上的重负,连同那个脸膛黑污、眼睛和善的驮炭人,都被忙碌的生涯淘去了,淡忘了。
@#@我只是朦胧中觉得自己心里似乎还存留着什么,它常常使我在奔波中稍稍定神的一瞬感到惶惑。
@#@@#@⑤所以我感谢六盘山。
@#@哪怕是短暂的接触也好,哪怕我还远远不能洞知和理解;@#@尽管我仍然只能再去投身于我的奔波世界,尽管我深知当我们在顶峰欢呼雀跃之后,朝下山道上迈出一步就有可能是对这座山峰的永诀。
@#@@#@⑥我仍然感谢六盘山。
@#@它在我成年的心里唤醒的那个印象已经再也不会沉睡了。
@#@当我望着在雪幕后雄伟地缓缓升起的、那颜色灰蒙的静默大山,望着它身上鳞甲般的丛丛树木,望着它襟裾下茫茫无际的大地上不可思议的梯田村庄的地图时,我久久地想着这近二十年的时光里经历的一切。
@#@从岷山道上那背炭的农民开始,一直到沙沟乡面容坚忍的哲合忍耶回民,许许多多的熟识面影,仿佛在向我启示着什么。
@#@@#@⑦来到和尚铺,回首望六盘,顶峰和山口已经被山峦遮住了。
@#@想起昨天夜宿的杨河乡,只觉得天关难越。
@#@眼前路道分三岔,固原城举步可接。
@#@我知道,此别六盘山就是告别西海固;@#@前面虽路程尚远,但我这次严冬远行的计划已经结束。
@#@@#@⑧巍巍六盘山还在冬雪中无言地默立着,荒瘠的岭脉沿着路左一字排开。
@#@我没有多少惊喜或可数的收获,但我的心中是一片踏实的宁静。
@#@@#@⑨再见,我质朴、刚强的六盘山!
@#@@#@(选自《风土与山河》,有删改)@#@11.文中的六盘山有哪些特点?
@#@请加以概括。
@#@(4分)@#@12.文章第②段画线句描写了作者前往六盘山途中所见之景,请分析其表达特色。
@#@(6分)@#@13.文章第④段叙写“我”在岷山道上与背炭农民相遇之事,从全文结构看有何作用?
@#@(4分)@#@14.作者在文中反复表达“感谢六盘山”,请探究其中的深刻意蕴。
@#@(6分)@#@六、现代文阅读:
@#@实用类文本(18分)@#@阅读下面的文章,完成15~17题。
@#@@#@ @#@“我就是每天翻译一点”@#@①翻译家王永年精通英语、俄语、西班牙语、意大利语。
@#@他勤于翻译,译著等身,《欧•亨利小说全集》《十日谈》《约婚夫妇》,还有《博尔赫斯全集》中绝大部分的篇章都出自他的译笔。
@#@@#@②王永年女儿王绛说:
@#@“父亲没有留下什么遗言。
@#@只是在照顾他的八个月里,讲到自己的生平,他总是说,你们要做一个正直的人,要好好做学问,要终生学习。
@#@”在王绛的印象里,父亲在新华社上班的时候,为了多做一点文学的翻译工作,每天三四点钟就起来。
@#@@#@③翻译家赵德明表示,王永年在外语、中文、历史、文化上具有全方位的才学,并能把原文吃透,译作传神、忠实、精彩,文字也好。
@#@尽管因为翻译那些严肃高雅、在文学史上已有定论的作品,王永年得到业界内外的推崇,但他却因为翻译《在路上》,收获了更广泛的关注。
@#@英美文学专家陆建德说:
@#@“听说他翻译凯鲁亚克的《在路上》,我很吃惊,这本书年轻人很喜欢,他这样一个资深译者翻译的多是经典作品,要翻译嬉皮士文化的代表作,是一种挑战,他的勇气和精神令人钦佩。
@#@”@#@④出版人赵武平回忆说:
@#@“以我看,译文的风格再明显,如果没有以准确为基础,就离原作比较远。
@#@王先生的翻译没有匠气。
@#@他的汉语修养很高,翻译最明显的特点是准确、通俗,不会转文。
@#@”事实上,生前接受采访时,王永年多次表示自己并不喜欢《在路上》,但他还是花了十个月时间一点一点把它翻译完。
@#@有些人不能理解,为什么不喜欢还要翻译?
@#@他表示:
@#@“青菜萝卜各有所好,我不喜欢这样消极的小说,但可以介绍它是怎么回事。
@#@”@#@⑤有人问他为何翻译了如此多的文学作品,他想了半日,也只是诚实地说:
@#@“为了谋生。
@#@”赵武平说:
@#@“王先生经历过‘反右’,晚年坦承当时也有‘不由自主’的时候。
@#@他翻译作品,在特殊年代里也有一些是‘完成任务’,有些他自己并不满意,但是他能实话实说。
@#@”@#@⑥或许,王永年留给后世的,除了沉甸甸的文学翻译遗产,就是这种堪为典范的职业精神。
@#@在他看来,世上没什么不好翻译的东西。
@#@“反正你看懂原文,你有一定的中文基础,你就能够表达出来。
@#@”“我就是每天翻译一点,定时定量,并不累的。
@#@”@#@(摘自《文学报》,有删改)@#@15.文章第②段引用王绛的话,有什么作用?
@#@(6分)@#@16.从文中看,王永年因翻译《在路上》而受到更广泛关注的因素有哪些?
@#@(6分)@#@17.结合全文,概括王永年在翻译上取得丰硕成就的主要原因。
@#@(6分)@#@七、作文(70分)@#@18.阅读下面的材料,根据要求作文。
@#@(70分)@#@《红楼梦》这本伟大的经典文学作品,是我们共同的记忆,它将一个民族、一个时代的精神的精华都凝聚于其中,本身就具有一种无限的力量。
@#@(苏童)@#@影响我最大的作品是《钢铁是怎样炼成的》。
@#@多少年过去了,那些当年活现在我脑海里的情景还历历在目。
@#@(莫言)@#@中国学生必须背诵几种大部的经典,这种聚集成功的大资产,以供中国著名作家任意的使用,在文辞的修饰上自然能得到有效的结果。
@#@(瑞典语言学家、世界著名汉学家高本汉)@#@请以“品读经典”为题写一篇不少于800字的文章。
@#@@#@要求:
@#@①立意自定;@#@②角度自选;@#@③除诗歌外,文体自选。
@#@@#@ @#@@#@ @#@@#@ @#@@#@ @#@@#@2013届高三第三次调研测试@#@语文Ⅱ(附加题)@#@一、阅读材料,完成19~21题。
@#@(10分)@#@19.用斜线“/”给下面文言文中的画线部分断句。
@#@(限6处)(6分)@#@吾恒恶世之人不知推己之本而乘物以逞或依势以干非其类出技以怒强窃时以肆暴然卒迨于祸。
@#@有客谈麋、驴、鼠三物,似其事,作《三戒》。
@#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@@#@(选自柳宗元《〈三戒〉并序》)@#@20.《三戒》是由《黔之驴》、《 @#@ @#@ @#@▲ @#@ @#@ @#@》和《 @#@ @#@ @#@ @#@▲ @#@ @#@ @#@》组成的一组寓言。
@#@(2分)@#@21.试根据上面这段文字,概括《三戒》的主旨。
@#@(2分)@#@二、名著阅读题(15分)@#@22.下列有关名著的说明,不正确的两项是(5分) @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@ @#@( @#@▲ @#@)( @#@▲ @#@)@#@A.《三国演义》中,关羽退守麦城,诸葛瑾前来劝降,关羽不为所动,决定向西川撤退,途中遭遇东吴伏兵,寡不敌众,父子被擒。
@#@@#@B.《风波》中,七斤愚昧麻木、驯服盲从,是当时中国农民的典型;@#@赵太爷无政治主见,报复心重,是陈腐守旧的封建顽固派代表。
@#@@#@C.《边城》中,当杨马兵把大老天保在茨滩出事的消息告诉老船夫时,老船夫像被重重掴了一巴掌,不安、痛苦却又对此将信将疑。
@#@@#@D.《家》中,觉慧因为参加学生运动而被祖父囚禁在家,他常常通过吹箫宣泄心中郁积的烦闷,同时借箫声倾诉对鸣凤的爱慕之情。
@#@@#@E.《哈姆莱特》中,作者为突出主人公性格,运用了多重对比,如哈姆莱特和克劳狄斯、哈姆莱特与奥菲利娅、哈姆莱特与霍拉旭。
@#@@#@23.简答题(10分)@#@⑴“我爱咱们的国呀,可是谁爱我呢?
@#@”这句台词出自《茶馆》中哪一人物之口?
@#@请根据剧情简介这一人物。
@#@(5分)@#@⑵“自为红绡帐里,公子情深;@#@始信黄土垄中,女儿命薄!
@#@”这句话出自《红楼梦》中的哪一篇祭文?
@#@句中的“公子”、“女儿”分别指谁?
@#@请概写出最能体现“女儿”生前个性的两个情节。
@#@(5分)@#@三、材料概括分析题(15分)@#@阅读下面的材料,完成24~26题。
@#@@#@近日,“舌尖上的浪费”、“车轮上的浪费”、“会务中的浪费”等现象招致众口痛批,相比之下,文化浪费却未引起足够警觉。
@#@即便有人对此有所感触,也大多停留在“巨资大投入”、“高价抢明星”、“豪华大场面”、“争夺收视率”的各类春晚、节会之上。
@#@其实,涉及文化方面的浪费还有很多。
@#@有的地方在举办文化活动上不计成本讲排场,笔者曾闻,某县为欢庆元宵节,竟耗资近亿元。
@#@有的地方在文化设施上舍得投入,可实际利用效果却并不理想。
@#@体育场馆建得规模宏大,然而因远离居民区乏人问津;@#@图书馆建得富丽堂皇,然而因书刊数量不足、更新缓慢终致门可罗雀;@#@“农家书屋”、“科技信息服务站”建得像模像样,然而因管理不善以致沦为麻将室、黑网吧。
@#@如此徒具其表的文化设施,除了“看起来很美”,有谁细算过其背后的综合效益账?
@#@像这样“热在一时”的文化建设举措,不是浪费又是什么?
@#@@#@与餐桌浪费、公车浪费等相比,目前,对于文化浪费似乎还没有哪个部门专门做过统计与研究,没有权威而准确的数据对之加以曝光。
@#@而事实上,文化浪费的后果尤其不容忽视,它所带来的不只是资金、资源上的无端损耗,不只是政府相关部门的形象遭损;@#@因了文化浪费,势必给地方文化建设拖后腿,而文化建设的滞后乃至偏差,对于公众文化素养提升、对于集结民智实干兴邦等都将产生十分不利的影响。
@#@@#@认识是行动的先导。
@#@诚若近期,人们对于餐桌之上、会务招待当中诸多突出浪费行为有目共睹、口诛笔伐,使得类似不良现象正在各地逐步得以改善。
@#@窃以为,只要人们对身边种种文化浪费现象也同样保持清醒认识,只要人人愿意为消弭文化浪费付出努力,这一领域的浪费尽快得以遏止就不会是梦。
@#@@#@24.根据材料,概括“文化浪费”的种种表现。
@#@(4分)@#@25.为什么要对“文化浪费”进行“严控”?
@#@(5分)@#@26.结合材料,谈谈如何遏止文化浪费现象。
@#@(6分)@#@ @#@@#@ @#@@#@ @#@@#@ @#@@#@ @#@@#@2013届高三第三次调研测试@#@参考答案及评分建议@#@一、语言文字运用(15分)@#@1.(3分)B.(A项,yì@#@/zhì@#@、yǐ、zhù@#@;@#@B项,xiá@#@/xié@#@、duō/zhuì@#@、qì@#@/qiè@#@;@#@C项,shà@#@n/zhān、jǔ、luò@#@/kè@#@;@#@D项,dú@#@、zhà@#@n/jià@#@n、nià@#@ng/lá@#@nɡ。
@#@)@#@2.(3分)C(A项,成分赘余,“质疑”就是提出疑问的意思;@#@B项,句式杂糅,应删掉“可以”或“是有效的”;@#@D项,不合逻辑,“是否涨价”不能被“确认”。
@#@)@#@3.(4分)①人生态度 @#@②美学观点 @#@③不同观点的影响@#@评分建议:
@#@一点1分;@#@三点全答对,4分。
@#@@#@4.(5分)①语言不连贯,应将“我的人生一直受到您亲切话语的激励”改为“您亲切的话语激励我的人生”;@#@②用语不简明,将“无微不至”删去;@#@③用语不得体,“光临寒舍”应改为“登门拜访”。
@#@@#@评分建议:
@#@一点1分,两点3分,三点5分。
@#@@#@二、文言文阅读(19分)@#@5.(3分)A(晏:
@#@安宁)@#@6.(3分)D(②为皇上诏令;@#@⑤表现的是金濂厚敛。
@#@)@#@7.(3分)D(“核实后被关进监狱”这一说法,不合史实。
@#@)@#@8.⑴(4分)按照洪武年间的旧例,派使者慰劳和奖赏(地方官员),这样清官和贪官就区分开来,守法有政绩的官员(也)受到鼓励。
@#@@#@ @#@ @#@评分建议:
@#@“故事”、“赉”、“劝”、语句通顺,各1分。
@#@@#@⑵(3分)银两、布匹和丝绸,诏书上没有写明(可以免除),如果一概减免,国家开支依靠什么?
@#@@#@评分建议:
@#@“资”、宾语前置句、语句通顺,各1分。
@#@@#@⑶(3分)金濂刚毅果断,有才干,所到之处以办事严厉著称,然而对待下属时常常脾气暴躁。
@#@@#@评分建议:
@#@一句1分;@#@若整句译文不通顺,扣1分。
@#@@#@三、古诗词鉴赏(10分)@#@9.⑴(3分)由惜春(1分)到思归(1分)再到怀人(1分)。
@#@@#@⑵(3分)“春归”本是自然现象(1分),词人埋怨春弃己而独归是“无理”的(1分),但无理却有趣,借此把游子游子思归之情表达得委婉、深挚。
@#@@#@⑶(4分)运用比喻、借代、夸张等修辞手法,以“鸳鸯”喻夫妻(有情人),以“江南、渭北”代指夫妻(有情人)各自所在的地方,以“白头”“三千里”突出分别时间之长、相隔距离之远。
@#@意味深远,给读者以强烈的情感震撼和艺术感染力。
@#@@#@评分建议:
@#@手法及分析,3分;@#@表达效果分析,1分。
@#@若答出其他手法(想像、化虚为实、以景结情等)及表达效果,言之成理亦可赋分。
@#@@#@四、名句名篇默写(8分)@#@10.⑴扪参历井仰胁息 @#@⑵浑欲不胜簪 @#@⑶唯见江心秋月白 @#@⑷得之心而寓之酒也 @#@⑸歌窈窕之章 @#@⑹封狼居胥 @#@⑺切问而近思 @#@⑻历览前贤国与家@#@五、现代文阅读:
@#@文学类文本(20分)@#@11.(4分)①道路崎岖;@#@②山势雄伟;@#@③植被茂盛;@#@④质朴、刚强。
@#@@#@评分建议:
@#@每点1分。
@#@@#@12.(6分)选取“白雪”“窑洞”等山中代表性景物(1分),按由下到上顺序(1分),从视觉、听觉、内心感受等角度(1分),运用拟人、比喻修辞手法(2分),展开描写,使人如临其境,语言表达富有感染力(1分)。
@#@@#@13.(4分)运用细节描写,说明背炭农民给“我”的印象之深(1分);@#@插叙相遇之事,丰富了文章内容,拓展了行文思路(1分);@#@承上启下,既与上文“回忆起一个遥远的印象”等议论抒情相呼应(1分),又为下文作者“感谢六盘山”提供了有力的依据(1分)。
@#@@#@14.(6分)①六盘山唤醒了作者心中已经淡忘了的美好印象;@#@@#@②六盘山让作者思考过往的人生历程;@#@@#@③六盘山让作者汲取了前行的力量;@#@@#@④六盘山启示作者关注底层百姓的生存状态;@#@@#@⑤六盘山激发了作者的寻根意识。
@#@@#@评分建议:
@#@每点2分,答对三点即得满分。
@#@@#@六、现代文阅读:
@#@实用类文本(18分)@#@15.(6分)①借女儿之口,间接说明诚于做人、恒于学问是王永年一生的追求;@#@@#@②再现王永年生前的活动,增强了传记的真实感,有力地证明了作者对王永年的认识;@#@@#@③为下文王永年在特殊年代里完成不满意的“任务”而能实话实说作铺垫。
@#@@#@评分建议:
@#@每点2分。
@#@@#@16.(6分)①资深翻译家挑战非经典作品,勇气和精神令人钦佩;@#@@#@②王永年的翻译准确、通俗,没有匠气;@#@@#@③翻译《在路上》,让中国读者(尤其是年轻人)了解了嬉皮士文化。
@#@@#@评分建议:
@#@每点2分。
@#@@#@17.(6分)①深厚的语言素养:
@#@精通多种语言,有深厚的中文功底;@#@@#@②学识全面:
@#@不仅精通各种语言,在历史、文化上也具有全方位的才学;@#@@#@③治学严谨:
@#@翻译以准确为基础,尊重原文,并形成个人翻译风格;@#@@#@④勤于工作:
@#@定时定量,每天翻译,笔耕不辍。
@#@@#@评分建议:
@#@每点2分,答对三点即可得满分。
@#@@#@七、作文(70分)@#@18.参照2012年江苏高考作文评分细则分等赋分。
@#@@#@ @#@@#@ @#@@#@2013届高三第三次调研测试@#@语文Ⅱ(附加题)@#@一、阅读材料,完成19~21题。
@#@(10分)@#@19.(6分)吾恒恶世之人/不知推己之本/而乘物以逞/或依势以干非其类/出技以怒强/窃时以肆暴/然卒迨于祸。
@#@@#@评分建议:
@#@每处1分。
@#@少于6处,见对给分;@#@多于6处,见错扣分。
@#@@#@20.(2分)临江之麋、永某氏之鼠@#@评分建议:
@#@答对1处,得1分。
@#@@#@21.(2分)讽刺社会上那些仗势逞强、耍奸使坏、自招灾祸的人。
@#@@#@附:
@#@参考译文@#@我常常厌恶世上的有些人,不知道考虑自己的实际能力,而只是凭借外力来逞强,或者依仗势力和自己不同的人打交道,使出伎俩来激怒比他强的对象,趁机胡作非为,但最后却招致了灾祸。
@#@有位客人同我谈起麋、驴、鼠三种动物的结局,我觉得与那些人的情形差不多,于是就作了这篇《三戒》。
@#@@#@二、名著阅读题(15分)@#@22.(5分)B、D(B项,不是“赵太爷”,而是“赵七爷";i:
25;s:
14019:
"最新孕产妇健康管理服务规范全套@#@孕产妇健康管理服务规范@#@一、服务对象@#@辖区内常住的孕产妇。
@#@@#@二、服务内容@#@
(一)孕早期健康管理@#@孕13周前为孕妇建立《母子健康手册》,并进行第1次产前检查。
@#@@#@1.进行孕早期健康教育和指导。
@#@@#@2.孕13周前由孕妇居住地的乡镇卫生院、社区卫生服务中心建立《母子健康手册》。
@#@@#@3.孕妇健康状况评估:
@#@询问既往史、家族史、个人史等,观察体态、精神等,并进行一般体检、妇科检查和血常规、尿常规、血型、肝功能、肾功能、乙型肝炎,有条件的地区建议进行血糖、阴道分泌物、梅毒血清学试验、HIV抗体检测等实验室检查。
@#@@#@4.开展孕早期生活方式、心理和营养保健指导,特别要强调避免致畸因素和疾病对胚胎的不良影响,同时告知和督促孕妇进行产前筛查和产前诊断。
@#@@#@5.根据检查结果填写第1次产前检查服务记录表,对具有妊娠危险因素和可能有妊娠禁忌症或严重并发症的孕妇,及时转诊到上级医疗卫生机构,并在2周内随访转诊结果。
@#@@#@
(二)孕中期健康管理@#@1.进行孕中期(孕16~20周、21~24周各一次)健康教育和指导。
@#@@#@2.孕妇健康状况评估:
@#@通过询问、观察、一般体格检查、产科检查、实验室检查对孕妇健康和胎儿的生长发育状况进行评估,识别需要做产前诊断和需要转诊的高危重点孕妇。
@#@@#@3.对未发现异常的孕妇,除了进行孕期的生活方式、心理、运动和营养指导外,还应告知和督促孕妇进行预防出生缺陷的产前筛查和产前诊断。
@#@@#@4.对发现有异常的孕妇,要及时转至上级医疗卫生机构。
@#@出现危急征象的孕妇,要立即转上级医疗卫生机构,并在2周内随访转诊结果。
@#@@#@(三)孕晚期健康管理@#@1.进行孕晚期(孕28~36周、37~40周各一次)健康教育和指导。
@#@@#@2.开展孕产妇自我监护方法、促进自然分娩、母乳喂养以及孕期并发症、合并症防治指导。
@#@@#@3.对随访中发现的高危孕妇应根据就诊医疗卫生机构的建议督促其酌情增加随访次数。
@#@随访中若发现有高危情况,建议其及时转诊。
@#@@#@(四)产后访视@#@乡镇卫生院、村卫生室和社区卫生服务中心(站)在收到分娩医院转来的产妇分娩信息后应于产妇出院后1周内到产妇家中进行产后访视,进行产褥期健康管理,加强母乳喂养和新生儿护理指导,同时进行新生儿访视。
@#@@#@1.通过观察、询问和检查,了解产妇一般情况、乳房、子宫、恶露、会阴或腹部伤口恢复等情况。
@#@@#@2.对产妇进行产褥期保健指导,对母乳喂养困难、产后便秘、痔疮、会阴或腹部伤口等问题进行处理。
@#@@#@3.发现有产褥感染、产后出血、子宫复旧不佳、妊娠合并症未恢复者以及产后抑郁等问题的产妇,应及时转至上级医疗卫生机构进一步检查、诊断和治疗。
@#@@#@4.通过观察、询问和检查了解新生儿的基本情况。
@#@@#@(五)产后42天健康检查@#@1.乡镇卫生院、社区卫生服务中心为正常产妇做产后健康检查,异常产妇到原分娩医疗卫生机构检查。
@#@@#@2.通过询问、观察、一般体检和妇科检查,必要时进行辅助检查对产妇恢复情况进行评估。
@#@@#@3.对产妇应进行心理保健、性保健与避孕、预防生殖道感染、纯母乳喂养6个月、产妇和婴幼营养等方面的指导。
@#@@#@三、服务流程@#@四、服务要求@#@
(一)开展孕产妇健康管理的乡镇卫生院和社区卫生服务中心应当具备服务所需的基本设备和条件。
@#@@#@
(二)按照国家孕产妇保健有关规范要求,进行孕产妇全程追踪与管理工作,从事孕产妇健康管理服务工作的人员应取得相应的执业资格,并接受过孕产妇保健专业技术培训。
@#@@#@(三)加强与村(居)委会、妇联相关部门的联系,掌握辖区内孕产妇人口信息。
@#@@#@(四)加强宣传,在基层医疗卫生机构公示免费服务内容,使更多的育龄妇女愿意接受服务,提高早孕建册率。
@#@@#@(五)每次服务后及时记录相关信息,纳入孕产妇健康档案。
@#@@#@(六)积极运用中医药方法(如饮食起居、情志调摄、食疗药膳、产后康复等),开展孕期、产褥期、哺乳期保健服务。
@#@@#@(七)有助产技术服务资质的基层医疗卫生机构在孕中期和孕晚期对孕产妇各进行2次随访。
@#@没有助产技术服务资质的基层医疗卫生机构督促孕产妇前往有资质的机构进行相关随访。
@#@@#@五、工作指标@#@
(一)早孕建册率=辖区内孕13周之前建册并进行第一次产前检查的产妇人数/该地该时间段内活产数×@#@100%。
@#@@#@
(二)产后访视率=辖区内产妇出院后28天内接受过产后访视的产妇人数/该地该时间内活产数×@#@100%。
@#@@#@ @#@@#@第1次产前检查服务记录表@#@姓名:
@#@编号□□□-□□□□□@#@填表日期@#@年月日@#@孕周@#@周@#@孕妇年龄@#@丈夫姓名@#@丈夫年龄@#@丈夫电话@#@孕次@#@产次@#@阴道分娩次剖宫产次@#@末次月经@#@年月日或不详@#@预产期@#@年月日@#@既往史@#@1无2心脏病3肾脏疾病4肝脏疾病5高血压6贫血7糖尿病8其他@#@□/□/□/□/□/□/□@#@家族史@#@1无2遗传性疾病史 3精神疾病史4其他@#@□/□/□@#@个人史@#@1无特殊2吸烟3饮酒4服用药物5接触有毒有害物质@#@6接触放射线7其他@#@□/□/□/□/□/□@#@妇产科手术史@#@1无 2有@#@□@#@孕产史@#@1自然流产2人工流产3死胎4死产5新生儿死亡6出生缺陷儿@#@身高@#@cm@#@体重@#@Kg@#@体质指数(BMI)@#@kg/m2@#@血压@#@/mmHg@#@听诊@#@心脏:
@#@1未见异常2异常□@#@肺部:
@#@1未见异常2异常@#@□@#@妇科检查@#@外阴:
@#@1未见异常2异常□@#@阴道:
@#@1未见异常2异常@#@□@#@宫颈:
@#@1未见异常2异常□@#@子宫:
@#@1未见异常2异常@#@□@#@附件:
@#@1未见异常2异常@#@□@#@辅助检查@#@血常规@#@血红蛋白值g/L白细胞计数值/L@#@血小板计数值/L其他@#@尿常规@#@尿蛋白尿糖尿酮体尿潜血其他@#@血型@#@ABO@#@Rh*@#@血糖*@#@mmol/L@#@肝功能@#@血清谷丙转氨酶U/L血清谷草转氨酶U/L@#@白蛋白g/L总胆红素μmol/L结合胆红素μmol/L@#@肾功能@#@血清肌酐μmol/L血尿素mmol/L@#@阴道分泌物*@#@1未见异常2滴虫3假丝酵母菌4其他□/□/□@#@阴道清洁度:
@#@1Ⅰ度2Ⅱ度3Ⅲ度4Ⅳ度□@#@乙型肝炎@#@乙型肝炎表面抗原乙型肝炎表面抗体*@#@乙型肝炎e抗原*乙型肝炎e抗体*@#@乙型肝炎核心抗体*@#@梅毒血清学试验*@#@1阴性2阳性□@#@HIV抗体检测*@#@1阴性2阳性□@#@B超*@#@其他*@#@总体评估@#@1未见异常2异常□@#@保健指导@#@1生活方式2心理3营养4避免致畸因素和疾病对胚胎的不良影响@#@5产前筛查宣传告知6其他□/□/□/□/□@#@转诊1无2有□@#@原因:
@#@机构及科室:
@#@@#@下次随访日期@#@年月日@#@随访医生签名@#@填表说明:
@#@@#@1.本表由医生在第一次接诊孕妇(尽量在孕13周前)时填写。
@#@若未建立居民健康档案,需同时建立。
@#@随访时填写各项目对应情况的数字。
@#@@#@2.孕周:
@#@填写此表时孕妇的怀孕周数。
@#@@#@3.孕次:
@#@怀孕的次数,包括本次妊娠。
@#@@#@4.产次:
@#@指此次怀孕前,孕期超过28周的分娩次数。
@#@@#@5.末次月经:
@#@此怀孕前最后一次月经的第一天。
@#@@#@6.预产期:
@#@可按照末次月经推算,为末次月经日期的月份加9或减3,为预产期月份数;@#@天数加7,为预产期日。
@#@@#@7.既往史:
@#@孕妇曾经患过的疾病,可以多选。
@#@@#@8.家族史:
@#@填写孕妇父亲、母亲、丈夫、兄弟姐妹或其他子女中是否曾患遗传性疾病或精神疾病,若有,请具体说明。
@#@@#@9.个人史:
@#@可以多选。
@#@@#@10.妇产科手术史:
@#@孕妇曾经接受过的妇科手术和剖宫产手术。
@#@@#@11.孕产史:
@#@根据具体情况填写,若有,填写次数,若无,填写“0”。
@#@@#@12.体质指数(BMI)=体重(kg)/身高的平方(m2)。
@#@@#@13.体格检查、妇科检查及辅助检查:
@#@进行相应检查,并填写检查结果。
@#@标有*的项目尚未纳入国家基本公共卫生服务项目,其中梅毒血清学试验、HIV抗体检测检查为重大公共卫生服务免费测查项目。
@#@@#@14.总体评估:
@#@根据孕妇总体情况进行评估,若发现异常,具体描述异常情况。
@#@@#@15.保健指导:
@#@填写相应的保健指导内容,可以多选。
@#@@#@16.转诊:
@#@若有需转诊的情况,具体填写。
@#@@#@17.下次随访日期:
@#@根据孕妇情况确定下次随访日期,并告知孕妇。
@#@@#@18.随访医生签名:
@#@随访完毕,核查无误后随访医生签署其姓名。
@#@@#@ @#@@#@第2~5次产前随访服务记录表@#@姓名:
@#@编号□□□-□□□□□@#@项目@#@第2次@#@第3次@#@第4次@#@第5次@#@(随访/督促)日期@#@孕周@#@主诉@#@体重(kg)@#@产@#@科@#@检@#@查@#@宫底高度(cm)@#@腹围(cm)@#@胎位@#@胎心率(次/分钟)@#@血压(mmHg)@#@/@#@/@#@/@#@/@#@血红蛋白(g/L)@#@尿蛋白@#@其他辅助检查*@#@分类@#@1未见异常□@#@2异常@#@1未见异常□@#@2异常@#@1未见异常□@#@2异常@#@1未见异常□@#@2异常@#@指导@#@1.生活方式@#@2.营养@#@3.心理@#@4.运动@#@5其他@#@1.生活方式@#@2.营养@#@3.心理@#@4.运动@#@5.自我监护@#@6.母乳喂养@#@7其他@#@1.生活方式@#@2.营养@#@3.心理@#@4.运动@#@5.自我监测@#@6.分娩准备@#@7.母乳喂养@#@8其他@#@1.生活方式@#@2.营养@#@3.心理@#@4.运动@#@5.自我监测@#@6.分娩准备@#@7.母乳喂养@#@8其他@#@转诊@#@1无2有□@#@原因:
@#@@#@机构及科室:
@#@@#@1无2有□@#@原因:
@#@@#@机构及科室:
@#@@#@1无2有□@#@原因:
@#@@#@机构及科室:
@#@@#@1无2有□@#@原因:
@#@@#@机构及科室:
@#@@#@下次随访日期@#@随访医生签名@#@产后访视记录表@#@姓名:
@#@编号□□□-□□□□□@#@随访日期@#@年 月 日@#@分娩日期@#@年 月 日@#@出院日期@#@年 月 日@#@体温(℃)@#@一般健康情况@#@ @#@@#@一般心理状况@#@ @#@@#@血压(mmHg)@#@乳房@#@1未见异常2异常 @#@□@#@恶露@#@1未见异常2异常 @#@□@#@子宫@#@1未见异常2异常 @#@□@#@伤口@#@1未见异常2异常 @#@□@#@其他@#@分类@#@1未见异常2异常 @#@□@#@指导@#@1个人卫生@#@2心理@#@3营养@#@4母乳喂养@#@5新生儿护理与喂养@#@6其他□/□/□/□/□@#@转诊@#@1无2有@#@□@#@原因:
@#@@#@机构及科室:
@#@@#@下次随访日期@#@随访医生签名@#@填表说明:
@#@@#@1.本表为产妇出院后一周内由医务人员到产妇家中进行产后检查时填写。
@#@@#@2.一般健康状况:
@#@对产妇一般情况进行检查,具体描述并填写。
@#@@#@3.一般心理状况:
@#@评估产妇是否有产后抑郁的症状。
@#@@#@4.血压:
@#@测量产妇血压,填写具体数值。
@#@@#@5.乳房、恶露、子宫、伤口:
@#@对产妇进行检查,若有异常,具体描述。
@#@@#@6.分类:
@#@根据此次随访情况,对产妇进行分类,若为其他异常,具体写明情况。
@#@@#@7.指导:
@#@可以多选,未列出的其他指导请具体填写。
@#@@#@8.转诊:
@#@若有需转诊的情况,具体填写。
@#@@#@9.随访医生签名:
@#@随访完毕,核查无误后随访医生签名。
@#@@#@产后42天健康检查记录表@#@姓名:
@#@编号□□□-□□□□□@#@随访日期@#@年 月 日@#@分娩日期@#@年 月 日@#@出院日期@#@年 月 日@#@一般健康情况@#@ @#@@#@一般心理状况@#@ @#@@#@血压(mmHg)@#@乳房@#@1未见异常2异常 @#@□@#@恶露@#@1未见异常2异常 @#@□@#@子宫@#@1未见异常2异常 @#@□@#@伤口@#@1未见异常2异常 @#@□@#@其他@#@ @#@@#@分类@#@1已恢复2未恢复 @#@□@#@指导@#@1心理保健@#@2性保健与避孕@#@3婴儿喂养@#@4产妇营养@#@5其他 @#@□/□/□/□/□@#@处理@#@1结案@#@2转诊 @#@原因:
@#@ @#@机构及科室:
@#@ @#@□@#@ @#@@#@随访医生签名@#@填表说明:
@#@@#@1.一般健康状况:
@#@对产妇一般情况进行检查,具体描述并填写。
@#@@#@2.一般心理状况:
@#@评估是否有产后抑郁的症状。
@#@@#@3.血压:
@#@如有必要,测量产妇血压,填写具体数值。
@#@@#@4.乳房、恶露、子宫、伤口:
@#@对产妇进行检查,若有异常,具体描述。
@#@@#@5.分类:
@#@根据此次随访情况,对产妇进行分类,若为未恢复,具体写明情况。
@#@@#@6.指导:
@#@可以多选,未列出的其他指导请具体填写。
@#@@#@7.处理:
@#@若产妇已恢复正常,则结案。
@#@若有需转诊的情况,具体填写。
@#@@#@8.随访医生签名:
@#@检查完毕,核查无误后检查医生签名。
@#@@#@9.若失访,在随访日期处写明失访原因;@#@若死亡,写明死亡日期和死亡原因。
@#@@#@";i:
26;s:
24484:
"小学三年级奥数题及答案精选@#@小学三年级奥数题及答案:
@#@还原问题@#@ 1.工程问题@#@ 绿化队4天种树200棵,还要种400棵,照这样的工作效率,完成任务共需多少天?
@#@@#@ 解答:
@#@200÷@#@4=50(棵)@#@ (200+400)÷@#@50=12(天)@#@ 【小结】@#@ 归一思想.先求出一天种多少棵树,再求共需几天完成任务.单一数:
@#@200÷@#@4=50(棵),总共的天数是:
@#@(200+400)÷@#@50=12(天).@#@ 2.还原问题@#@ 3个笼子里共养了78只鹦鹉,如果从第1个笼子里取出8只放到第2个笼子里,再从第2个笼子里取出6只放到第3个笼子里,那么3个笼子里的鹦鹉一样多.求3个笼子里原来各养了多少只鹦鹉?
@#@@#@ 解答:
@#@三
(一)班和三
(二)班每天共叠千纸鹤:
@#@2400÷@#@3=800(只),"@#@相同时间"@#@是:
@#@(2430+2370)÷@#@800=6(天),三
(一)班每天叠的个数:
@#@2430÷@#@6=405(只),三
(二)班每天叠的个数:
@#@2370÷@#@6=395(只).@#@小学三年级奥数题及答案:
@#@楼梯问题@#@ 1上楼梯问题@#@ 某人要到一座高层楼的第8层办事,不巧停电,电梯停开,如从1层走到4层需要48秒,请问以同样的速度走到八层,还需要多少秒?
@#@@#@ 解答:
@#@上一层楼梯需要:
@#@48÷@#@(4-1)=16(秒)@#@ 从4楼走到8楼共走:
@#@8-4=4(层)楼梯@#@ 还需要的时间:
@#@16×@#@4=64(秒)@#@ 答:
@#@还需要64秒才能到达8层。
@#@@#@ 2.楼梯问题@#@ 晶晶上楼,从1楼走到3楼需要走36级台阶,如果各层楼之间的台阶数相同,那么晶晶从第1层走到第6层需要走多少级台阶?
@#@@#@ 解:
@#@每一层楼梯有:
@#@36÷@#@(3-1)=18(级台阶)晶晶从1层走到6层需要走:
@#@18×@#@(6-1)=90(级)台阶。
@#@答:
@#@晶晶从第1层走到第6层需要走90级台阶。
@#@@#@小学三年级奥数题及答案:
@#@页码问题@#@ 1.黑白棋子@#@ 有黑白两种棋子共300枚,按每堆3枚分成100堆。
@#@其中只有1枚白子的共27堆,有2枚或3枚黑子的共42堆,有3枚白子的与有3枚黑子的堆数相等。
@#@那么在全部棋子中,白子共有多少枚?
@#@@#@ 解答:
@#@只有1枚白子的共27堆,说明了在分成3枚一份中一白二黑的有27堆;@#@有2枚或3枚黑子的共42堆,就是说有三枚黑子的有42-27=15堆;@#@所以三枚白子的是15堆:
@#@还剩一黑二白的是100-27-15-15=43堆:
@#@@#@ 白子共有:
@#@43×@#@2+15×@#@3=158(枚)。
@#@@#@@#@ 2.找规律@#@ 有一列由三个数组成的数组,它们依次是(1,5,10);@#@(2,10,20);@#@(3,15,30);@#@……。
@#@问第个数组内三个数的和是多少?
@#@@#@ 解答:
@#@99×@#@5=495@#@ 99×@#@10=990@#@ 99+495+990=1584@#@ 【小结】观察每一组中对应位置上的数,每组第一个是1、2、3.....的自然数列,第二个是5、10、15......分别是它们各组中第一个数的5倍,第三个10、20、30......分别是它们各组中第一个数的10倍;@#@所以,第99组中的数应该是:
@#@99、99×@#@5=495、99×@#@10=990,三个数的和99+495+990=1584@#@@#@ 3.页码问题@#@ 一本书的页码从1至62,即共有62页.在把这本书的各页的页码累加起来时,有一个页码被错误地多加了一次.结果,得到的和数为2000.问:
@#@这个被多加了一次的页码是几?
@#@@#@小学三年级奥数题及答案:
@#@平均重量@#@ 1.平均重量@#@ 小明家先后买了两批小猪,养到今年10月。
@#@第一批的3头每头重66千克,第二批的5头每头重42千克。
@#@小明家养的猪平均多重?
@#@@#@ 解答:
@#@两批猪的总重量为:
@#@@#@ 66×@#@3+42×@#@5=408(千克)。
@#@@#@ 两批猪的头数为3+5=8(头),故平均每头猪重@#@ 408÷@#@8=51(千克)。
@#@@#@ 答:
@#@平均每头猪重51千克。
@#@@#@ 注意,在上例中不能这样来求每头猪的平均重量:
@#@@#@ (66+42)÷@#@2=54(千克)。
@#@@#@ 上式求出的是两批猪的"@#@平均重量的平均数"@#@,而不是(3+5=)8头猪的平均重量。
@#@这是刚接触平均数的同学最容易犯的错误!
@#@@#@@#@ 2.平均数@#@ 有六个数,它们的平均数是25,前三个数的平均数是21,后四个数的平均数是32,那么第三个数是多少?
@#@@#@ 解答:
@#@21×@#@3+32×@#@4=63+128=191@#@ 191-150=41@#@ 【小结】6个数的总和为25×@#@6=150,前三个数的和加上后四个数的和为21×@#@3+32×@#@4=63+128=191,第三个数重叠了,多算了一次,那么第三个数为191-150=41@#@小学三年级奥数题及答案:
@#@盈亏问题@#@ 1.盈亏问题@#@ 三年级的老师给小朋友分糖果,如果每位同学分4颗,发现多了3颗,如果每位同学分5颗,发现少了2颗。
@#@问有多少个小朋友?
@#@有多少颗糖?
@#@@#@ 解答:
@#@(3+2)÷@#@(5-4)=5÷@#@1=5(位)…人数@#@ 4×@#@5+3=20+3=23(颗)……糖@#@ 或5×@#@5-2=25-2=23(颗)@#@ 2.盈亏问题@#@ 老师买来一些练习本分给优秀少先队员,如果每人分5本,则多了14本;@#@如果每人分7本,则多了2本;@#@优秀少先队员有几人?
@#@买来多少本练习本?
@#@@#@@#@ 小学三年级奥数题及答案:
@#@几何题@#@ 1.巧求面积@#@ 一块长方形铁板,长15分米,宽12分米,如果长和宽各减少2分米,面积比原来减少多少平方分米?
@#@@#@@#@@#@ 2.逻辑推理@#@ 装了神秘礼物的方形箱子上有一幅图画,要在图中的七个小区中分别涂上颜色,要求每个小区涂一种颜色,相邻的小区颜色不能相同,并且使用的颜色最少才能打开箱子,那么最少要用多少种颜色?
@#@@#@@#@@#@ 解答:
@#@至少需要三种颜色@#@ 【小结】@#@ 将原图编号如有上图,看周边的六个小区,奇数号区与偶数号区交替排列,那么可以用两种颜色将它们区分开来,而号和周边小区都相邻,只能用第三种颜色。
@#@也就是说,最少需要三种颜色。
@#@@#@ 小学三年级奥数题及答案:
@#@平均身高@#@ 1.身高@#@ 三年级二班共有42名同学,全班平均身高为132厘米,其中女生有18人,平均身高为136厘米。
@#@问:
@#@男生平均身高是多少?
@#@@#@ 解答:
@#@全班身高的总数为@#@ 132×@#@42=5544(厘米),@#@ 女生身高总数为@#@ 136×@#@18=2448(厘米),@#@ 男生有42-18=24(人),身高总数为@#@ 5544-2448=3096(厘米),@#@ 男生平均身高为@#@ 3096÷@#@24=129(厘米)。
@#@@#@ 综合列式:
@#@@#@ (132×@#@42-136×@#@18)÷@#@(42-18)=129(厘米)。
@#@@#@ 答:
@#@男生平均身高为129厘米。
@#@@#@ 2.做题@#@ 一个学生为了培养自己的数学解题能力,除了认真读一些书外,还规定自己每周(一周为7天)平均每天做4道数学竞赛训练题。
@#@星期一至星期三每天做3道,星期四不做,星期五、六两天共做了13道。
@#@那么,星期日要做几道题才能达到自己规定的要求?
@#@@#@ 分析:
@#@要先求出每周规定做的题目总数,然后求出星期一至星期六已做的题目数。
@#@两者相减就是星期日要完成的题目数。
@#@@#@ 每周要完成的题目总数是4×@#@7=28(道)。
@#@星期一至星期六已做题目3×@#@3+13=22(道),所以,星期日要完成28-22=6(道)。
@#@@#@ 解:
@#@4×@#@7-(3×@#@3+13)=6(道)。
@#@@#@ 答:
@#@星期日要做6道题。
@#@@#@ 3.做题@#@ 有位小学生特别喜爱数学,他要求自己在一周内平均每天练8道数学题。
@#@星期一至星期四每天都已练9道,星期五参加钢琴比赛没有练数学,星期六练10道题,那么,这个星期日要练几道才达到要求?
@#@@#@ 分析不妨先算出每周按要求完成的总数,然后据已练的题算出还缺的数目,这就是要在星期日完成的题数。
@#@@#@ 解每周的总数8×@#@7=56(道)@#@ 已完成的数9×@#@4+10=46(道)@#@ 星期日的数56-46=10(道)@#@ 答按要求在星期日要练10道数学题。
@#@@#@@#@小学三年级奥数题及答案:
@#@平均年龄@#@ 1.平均年龄@#@ 有2个班,每班的学生数相等。
@#@其中一个班平均每人9岁,另一个班平均每人11岁。
@#@那么这两个班的学生平均每人几岁?
@#@@#@ 分析"@#@两个班的学生平均"@#@年龄按理应把每个人的年龄加起来,这样才可算出总和。
@#@但是人数根本不知道,怎么办呢?
@#@所以要有新思路才能解此问题。
@#@@#@ 不妨假设每班有30人,则总岁数为9×@#@30+11×@#@30=600(岁),总人数为30+30=60(人),平均年龄为600÷@#@60=10(岁)。
@#@@#@ 如果设每班有10人,就可列式计算如下:
@#@@#@ (9×@#@10+11×@#@10)÷@#@(10+10)@#@ =200÷@#@20@#@ =10(岁)@#@ 那么更简单些,可设每班1人,则@#@ (9×@#@1+11×@#@1)÷@#@(1+1)@#@ =20÷@#@2@#@ =10(岁)@#@ 三种假设得的结果都相等,因为其中有一个特殊条件,即:
@#@两班学生每班人数都相同。
@#@@#@ 这是一种求平均数的特殊情况。
@#@两班的人数要是不相同就不能简单地对两种年龄求平均数。
@#@@#@ 解由于两班中每班人数相同,可在各班抽出一人,并且年龄为各班的平均数。
@#@@#@ (9+11)÷@#@(1+1)@#@ =20÷@#@2@#@ =10(岁)@#@ 答两班学生平均年龄为10岁。
@#@@#@ 2.平均速度@#@ 一条大河上游与下游的两个码头相距240千米,一艘航船顺流而下的速度为每小时航行30千米,逆流而上的速度为每小时航行20千米。
@#@那么这艘船在两码头之间往返一次的平均速度是多大?
@#@@#@ 分析航行中的速度有两种,然而所求的平均速度并非是这两种速度之和除以2。
@#@@#@ 按往返一次期间的平均速度,就要分别计算总航程与经历的总时间,然后按平均速度的意义求出答案来。
@#@@#@ 解总航程240×@#@2=480(千米)@#@ 总时间240÷@#@30+240÷@#@20@#@ =8+12@#@ =20(小时)@#@ 平均速度480÷@#@20=24(千米)@#@ 答往返一次的平均速度为每小时航行24千米。
@#@@#@小学三年级奥数题及答案:
@#@平均成绩@#@ 1.平均数@#@ 有一头母猪产下12头猪娃,先产下的6头恰好每头都重3.5千克,后产下的3头每头都重3千克,最后3头每头都重2千克。
@#@那么,这群猪娃平均每头重多少千克?
@#@@#@@#@ 分析虽然只有3种重量,却不是只有3头猪。
@#@所以要先计算12头猪娃的总重量,再平均分配成12份,这才是每头的平均重量。
@#@@#@ 解3.5×@#@6+3×@#@3+2×@#@3@#@ =21+9+6@#@ =36(千克)@#@ 36÷@#@12=3(千克)@#@ 答这群猪娃平均每头重3千克。
@#@@#@ 小学三年级奥数天天练:
@#@平均成绩@#@ 小敏期末考试,数学92分,语文90分,英语成绩比这三门的平均成绩高4分。
@#@问:
@#@英语得了多少分?
@#@@#@ 分析:
@#@英语比平均成绩高的这4分,是"@#@补"@#@给了数学和语文,所以三门功课的平均成绩为@#@ (92+90+4)÷@#@2=93(分),由此可求出英语成绩。
@#@@#@ 解:
@#@(92+92+4)÷@#@2+4=97(分)。
@#@@#@ 答:
@#@英语得了97分。
@#@@#@@#@ 难度:
@#@★★★★★@#@ 小学三年级奥数天天练:
@#@平均数@#@ 一小组六个同学在某次数学考试中,分别为98分、87分、93分、86分、88分、94分。
@#@他们的平均成绩是多少?
@#@@#@@#@ 总成绩=98+87+93+86+88+94=546(分)。
@#@@#@ 这个小组有6个同学,平均成绩是@#@ 546÷@#@6=91(分)。
@#@@#@ 答:
@#@平均成绩是91分。
@#@@#@小学三年级奥数题及答案:
@#@植树问题@#@ 1.植树问题@#@ 某一淡水湖的周长1350米,在湖边每隔9米种柳树一棵,在两棵柳树中间种2棵杨树,可种柳树多少棵?
@#@可种杨树多少棵?
@#@两棵杨树之间相距多少米?
@#@@#@ 解答:
@#@@#@ 柳树:
@#@1350÷@#@9=150(棵)@#@ 杨树:
@#@150×@#@2=300(棵)@#@ 9÷@#@(2+1)=3(米)@#@@#@ 2.称水果@#@ 把40千克苹果和80千克梨装在6个筐内(可以混装),使每个筐装的重量一样。
@#@每筐应装多少千克?
@#@@#@ 苹果和梨的总重量为@#@ 40+80=120(千克)。
@#@@#@ 因要装成6筐,所以,每筐平均应装@#@ 120÷@#@6=20(千克)。
@#@@#@ 答:
@#@每筐应装20千克。
@#@@#@ 3.等量代换@#@ 如下图所示,有七张写有数字的卡片,A、B、C三人分别取其中的两张。
@#@@#@ A说:
@#@"@#@我所取的卡片,合起来为12。
@#@"@#@@#@ B说:
@#@"@#@我所取的卡片,合起来为10。
@#@"@#@@#@ C说:
@#@"@#@我所取的卡片,合起来为22。
@#@"@#@@#@ 那么剩下的一张卡片上写着几呢?
@#@@#@@#@ 解答:
@#@3个笼子里的鹦鹉不管怎样取,78只的总数始终不变.变化后"@#@3个笼子里的鹦鹉一样多"@#@,可以求出现在每个笼里的是78÷@#@3=26(只).根据"@#@从第1个笼子里取出8只放到第2个笼子里"@#@,可以知道第1个笼子里原来养了26+8=34(只);@#@再根据"@#@从第2个笼子里取出6只放到第3个笼子里"@#@,得出第2个笼子里有:
@#@26+6-8=24(只),第3个笼子里原有26-6=20(只).@#@小学三年级奥数题及答案:
@#@平均数@#@ 1.数字问题@#@ 哪吒是个小马虎,他在做一道减法题时,把被减数十位上的7错写成8,减数个位上的7错写成2,最后所得的差是577,那么这道题的正确答案应该是多少呢?
@#@@#@ 解答:
@#@577-(7-2)-(80-70)=562@#@ 【小结】被减数十位上的7变成8,使被减数增加80-70=10,差也增加了10;@#@减数个位上的7错写成2,使减数减少了7-2=5,这样又使差增加了5,这道题可以说成:
@#@正确的差加上10后又加上5得577,求正确的差.所以列式得:
@#@577-(7-2)-(80-70)=562.这题的正确答案应该是562.@#@@#@ 2.整除@#@ @#@@#@ 3.平均数问题@#@ 小元在期末考试中,政治、语文、数学、英语、生物五科的平均分是89分.政治、数学两科的平均分是91.5分.语文、英语两科的平均分是84分.政治、英语两科的平均分是86分,而且英语比语文多10分.问小元这次考试的各科成绩应是多少分?
@#@@#@@#@小学三年级奥数题及答案:
@#@差倍问题@#@ 1.差倍问题@#@ 甲班的图书本数比乙班多80本,甲班的图书本数是乙班的3倍,甲班和乙班各有图书多少本?
@#@@#@ 解答:
@#@乙班本数:
@#@80÷@#@(3-1)=40(本)@#@ 甲班本数:
@#@40×@#@3=120(本)@#@ 2.和倍问题@#@ 两个数的和是682,其中一个加数的个位是0,若把0去掉则与另一个加数相同,这两个数分别是多少?
@#@@#@ 解答:
@#@@#@ 682÷@#@(10+1)=62@#@ 62×@#@10=620@#@小学三年级奥数题及答案:
@#@乘除法应用题@#@ 1.乘除法简单应用题@#@ 某班有45人,先是4人站成一排,最后不够4人的另外站成一排,那么共需要站多少排?
@#@@#@ 解答:
@#@4人站成一排,那么10排共站去40人,11排站44人,剩下的一个人单独站一排,因此共需站11+1=12(排)@#@ 2.乘除法简单应用题@#@ 某班同学在操场上站队,共站成12排,最后一排只有1个人,其它每排都有4个人。
@#@现在调整队形,每排站6人,最后不够6人的另站成一排,那么共需站几排?
@#@@#@ 解答:
@#@这个班有4×@#@11+1=45(人),调整队形后,每排站6人,那么7排站6×@#@7=42(人),剩下的3人另站成一排,因此共需站8排。
@#@@#@小学三年级奥数题及答案:
@#@年龄问题@#@ 1.年龄问题@#@ 6年前,母亲的年龄是儿子的5倍。
@#@6年后母子年龄和是78岁。
@#@问:
@#@母亲今年多少岁?
@#@@#@ 解答:
@#@母子今年年龄和:
@#@78-6×@#@2=66(岁)@#@ 母子6年前年龄和:
@#@66-6×@#@2=54(岁)@#@ 母亲六年前的年龄:
@#@54÷@#@(5+1)×@#@5=45(岁)@#@ 母亲今年的年龄:
@#@45+6=51(岁)@#@ 2.年龄问题@#@ 东东、明明两个人的平均年龄是14岁,明明、亮亮两个人的平均年龄是17岁,那么亮亮比东东大几岁?
@#@@#@ 解答:
@#@34-28=6(岁).@#@ 【小结】东东、明明的年龄和是:
@#@14×@#@2=28(岁),明明、亮亮的年龄和是:
@#@17×@#@2=34(岁),所以亮亮、东东的年龄差为:
@#@34-28=6(岁)。
@#@@#@小学生三年级奥数题及答案:
@#@一笔画问题@#@ 1.一笔画问题@#@ 判断下列各图能否一笔画出,并说明理由.@#@@#@ 解答:
@#@图中⑴⑶均不能一笔画出,这是因为:
@#@图⑴中有四个奇点,图⑶有六个奇点.图⑵⑷⑸均可一笔画出,这是因为图⑷和图⑸都没有奇点.画时可以从任一点开始.图⑵有二个奇点,选任何一个奇点为出发点,另外一个奇点就是终点.@#@ 2.一笔画问题@#@ 判断下列各图中,哪些图形可以一笔画出,哪些不能一笔画出?
@#@能一笔画出的,请用一笔把它们画出来.@#@@#@@#@ 解答:
@#@都能,如图@#@@#@@#@小学生三年级奥数题及答案:
@#@周期问题@#@ 1.周期问题@#@ 小兔和小松鼠做游戏,他们把黑、白两色小球按下面的规律排列:
@#@@#@ ●●○●●○●●○…@#@ 你知道它们所排列的这些小球中,第90个是什么球?
@#@第100个又是什么球呢?
@#@@#@ 解答:
@#@黑球@#@ 2.周期问题@#@ 小和尚在地上写了一列数:
@#@7,0,2,5,3,7,0,2,5,3…@#@ 你知道他写的第81个数是多少吗?
@#@@#@ 你能求出这81个数相加的和是多少吗?
@#@@#@ 解答:
@#@⑴从排列上可以看出这组数按7,0,2,5,3依次重复排列,那么每个周期就有5个数.81个数则是16个周期还多1个,第1个数是7,所以第81个数是7,81÷@#@5=16…1@#@ ⑵每个周期各个数之和是:
@#@7+0+2+5+3=17.再用每个周期各数之和乘以周期次数再加上余下的各数,即可得到答案.17×@#@16+7=279,所以,这81个数相加的和是279.@#@ 小学生三年级奥数题及答案:
@#@巧算问题@#@ 1.巧算问题@#@ (1350+249+468)+(251+332+1650)@#@@#@ 2.巧算问题@#@ 101+103+107+109+113+127+131+137+139+149+151@#@@#@小学生三年级奥数题及答案:
@#@追及问题@#@ 1.追及问题@#@ 桌子和板凳二人同地同方向出发,桌子每小时走7千米,板凳每小时走5千米.板凳先走2小时后,桌子才开始走,桌子追上板凳需要几小时?
@#@@#@ 解答:
@#@板凳每小时走5千米,先走了2小时,这时桌子和板凳之间的路程是5×@#@2=10(千米).桌子每小时可追上板凳7-5=2(千米),10千米里面包含着几个2千米,就需要几小时追上,追及时间是:
@#@10÷@#@2=5(小时).@#@ 2.追及问题@#@ 六年级同学从学校出发到公园春游,每分钟走72米,15分钟以后,学校有急事要通知学生,派李老师骑自行车从学校出发9分钟追上同学们,李老师每分钟要行多少米才可以准时追上同学们?
@#@@#@ 解答:
@#@同学们15分钟走72×@#@15=1080(米),即路程差.然后根据速度差=路程差÷@#@追及时间,可以求出李老师和同学们的速度差,又知道同学们的速度是每分钟72米,就可以得出李老师的速度.即1080÷@#@9+70=190(米).@#@小学生二年级奥数题及答案:
@#@枚举法@#@ 1.加括号@#@ 在下面的算式里加上括号,使它们成为正确的算式。
@#@@#@
(1)8×@#@6-2-4÷@#@1=28@#@
(2)6×@#@8+12÷@#@4-3=12@#@ 【答案】[8×@#@(6-2)-4]÷@#@1=28@#@ 6×@#@[(8+12)÷@#@4-3]=12或(6×@#@8+12)÷@#@4-3=12@#@ 2.枚举法@#@ 小猫把15条鱼分成4堆,问一共有多少种不同的分法?
@#@@#@ 【答案】@#@ 1打头的:
@#@2打头的:
@#@3打头的:
@#@总共:
@#@@#@ 1+1+1+122+2+2+93+3+3+616+8+3=27(种)@#@ 1+1+2+112+2+3+83+3+4+5@#@ 1+1+3+102+2+4+73+4+4+4@#@ 1+1+4+92+2+5+6共3种@#@ 1+1+5+82+3+3+7@#@ 1+1+6+72+3+4+6@#@ 1+2+2+102+3+5+5@#@ 1+2+3+92+4+4+5@#@ 1+2+4+8共8种@#@ 1+2+5+7@#@ 1+2+6+6@#@ 1+3+3+8@#@ 1+3+4+7@#@ 1+3+5+6@#@ 1+4+4+6@#@ 1+4+5+5@#@ 共16种@#@@#@小学生三年级奥数题及答案:
@#@相遇问题@#@ 1.相遇问题@#@ 小白从家骑车去学校,每小时15千米,用时2小时,回来以每小时10千米的速度行驶,需要多少时间?
@#@@#@ 解答:
@#@从家到学校的路程:
@#@15×@#@2=30(千米),回来的时间30÷@#@10=3(小时).@#@ 2.相遇问题@#@ 夏夏和冬冬同时从两地相向而行,两地相距1100米,夏夏每分钟行50米,冬冬每分钟行60米,问两人在距两地中点多远处相遇?
@#@@#@@#@小学生三年级奥数题及答案:
@#@相遇问题@#@ 1.相遇问题@#@ 小白从家骑车去学校,每小时15千米,用时2小时,回来以每小时10千米的速度行驶,需要多少时间?
@#@@#@ 解答:
@#@从家到学校的路程:
@#@15×@#@2=30(千米),回来的时间30÷@#@10=3(小时).@#@ 2.相遇问题@#@ 夏夏和冬冬同时从两地相向而行,两地相距1100米,夏夏每分钟行50米,冬冬每分钟行60米,问两人在距两地中点多远处相遇?
@#@@#@@#@小学生三年级奥数题及答案:
@#@计算@#@ 1.计算@#@ 小猫把15条鱼分成数量不等的4堆,问最多的一堆最多有多少条?
@#@@#@ 【答案】最小三堆为1、2、3@#@ 15-(1+2+3)=9(条)@#@ 答:
@#@最多的一堆最多有9条。
@#@@#@ 2.连续偶数和@#@ 已知9个连续偶数的和是90,求这连续的9个偶数@#@ 【答案】90÷@#@9=10-----------中间数@#@ 10往下推:
@#@8、6、4、2@#@ 10往上推:
@#@12、14、16、18@#@ 答:
@#@这9个偶数分别是2、4、6、8、10、12、14、16、18。
@#@@#@小学生三年级奥数题及答案:
@#@数论@#@ 1.数论@#@ 625×@#@125×@#@25×@#@5×@#@32×@#@16×@#@8×@#@4×@#@2的结果中末尾有多少个零?
@#@@#@ 【答案】2×@#@5=10(1个0)@#@ 25×@#@4=100(2个0)@#@ 125×@#@8=1000(3个0)@#@ 625×@#@32=20000(4个0)@#@ 1+2+3+4=10(个)@#@ 2.数论@#@ 一根长288厘米的绳子,每6厘米做个记号,再每4厘米做个记号,然后将有记号的地方剪断,则绳子被剪成了多少段?
@#@@#@ 【答案】288/6=48(段)@#@";i:
27;s:
12530:
"@#@2015学年第二学期小学六年级英语期末考试@#@班级:
@#@________ 姓名:
@#@_________ 学号:
@#@_________ @#@(时间:
@#@40分钟)@#@项目@#@得分@#@等级@#@总分@#@总等级@#@听力部分@#@笔试部分@#@ListeningPart听力部分(40分)@#@一、Listenandchoose.听选单词。
@#@听下面10个单词,选出你听到的单词,并将该单词的字母@#@代号填在题前的括号里。
@#@每个单词读两遍。
@#@(每小题1分,共计10分)@#@()1.A.dreamB.gym()2.A.giveB.gift@#@()3.A.rodeB.read()4.A.fallB.hall@#@()5.A.feelB.film()6.A.tripB.cheep@#@()7.A.boughtB.thought()8.A.startB.star@#@()9.A.runB.fun()10.A.LabourB.laugh@#@二、Listenandchoosetherightanswer.听选答语。
@#@听下面10个句子,选择相应的答语的字母代号填入前面的括号内。
@#@每个句子读两遍。
@#@(每小题1分,共计10分)@#@()1.A.Itwasfun. B.It’sgood.C.I’mgoingtothezoo.@#@()2.A.Yes,hedoes. B.No,hedoesn’t.C.Yes,hedid.@#@()3.A.Bybus.B.Myparents.C.Iclimbedmountains.@#@()4.A.Sheis175m. B.Heis173m. C.Heis70kg.@#@()5.A.Heatefreshfood. B.Sure. C.Yes,Idid.@#@()6.A.Igohiking.B.Iwenthiking.C.Iamgoinghiking.@#@()7.A.Shegoestothecinema.B.Shewenttothecinema.C.Sheisgoingtothecinema.@#@()8.A.Size38B.SizeSC.Myfeetarebig.@#@()9.A.Ihurtmyfoot.B.Igotanewbike.C.Iwentcamping.@#@()10.A.I’mgoingtoSanya.B.IwenttoSanya.C.IoftengotoSanya.@#@三、Listenandchoose.听小对话,选答案。
@#@听下面5段小对话,根据对话内容选择正确的选项,每个对话读2遍。
@#@(每小题2分,共计10分)@#@()1.Thetallbuildingisthenew_________.@#@A.bookstoreB.libraryC.sciencemuseum@#@()2.John________onSaturdayafternoon.@#@A.wentfishingB.cleanedhisroomC.washedhisclothes@#@()3.Sam’sfavoriteseasonis__________now.@#@A.fallB.winterC.spring@#@()4.Thirtyyearsagotherewasonly________inourschool.@#@A.adininghallB.alibraryC.asmallbuilding@#@()5.Mr.Jones____________intheforestparklastSunday.@#@A.wentcampingB.wentfishingC.wenthiking@#@四、Listenandchoose.听较长对话,回答问题。
@#@听下面两段长对话,每段对话后有几个小题,请你根据对话内容选择最佳选项回答问题。
@#@每段对话读两遍。
@#@(每小题2分,共计10分)@#@
(一)听下面一段对话,回答第1和第2题。
@#@@#@()1.WhatdidMikedolastweekend?
@#@@#@A.Heplayedfootball.B.Hereadastorybookandplayedgames.@#@C.HeplayedfootballandwatchedTV.@#@()2.WhatdidSarahdolastweekend?
@#@@#@A.Shesawafilmwithhergrandparents.@#@B.Shevisitedhergrandparentsandreadastorybook.@#@C.Shewashedherclothesandcleanedherroom.@#@
(二)听下面一段对话,回答第3至第5题。
@#@@#@()3.WhatsizeisAmy’sshoes?
@#@@#@A.Size7.B.Size8.C.Size9.@#@()4.AreAmy’sfeetbiggerthanSarah’s?
@#@@#@A.Yes,theyare.B.No,theyaren’t.C.Idon’tknow.@#@()3.IsAmythinnerthanSarah?
@#@@#@A.Yes,heis.B.Yes,sheis.C.No,sheisn’t.@#@WritingPart笔试部分(60分)@#@五、Readandchoose.读单词,找出划线部分发音不同的一项,将其字母代号填在题前的括号内。
@#@(每小题1分,共计5分)@#@()1.A.comicB.comeC.nice@#@()2.A.priceB.visitC.Mrs@#@()3.A.stayB.seaC.amazing@#@()4.A.MunichB.mustC.club@#@()5.A.factotyB.postmanC.fisherman@#@六、Readandchoosethedifferentone.根据词义,选出不同类的一项,并将该选项的字母代号填写在题前的括号里。
@#@(每小题1分,共计5分)@#@()1.A.restaurantB.mustC.museumD.school@#@()2.A.priceB.interestingC.farD.amazing@#@()3.A.helmetB.shipC.ferryD.sled@#@()4.A.GermanyB.MunichC.AlaskaD.Jiangxi@#@()5.A.fishermanB.workerC.coachD.travel@#@七、Readandchoose.选择正确的选项完成句子,并将该选项的字母代号填在题前的括号里。
@#@(每小题1分,共计10分)@#@()1.Therewasnograssinmyschoolfiveyears_______.@#@A.goB.agoC.age@#@()2.-Didyou________yesterday?
@#@-Yes,Idid.@#@A.cleanedyourroomB.washedyourclothesC.dohomework@#@()3.Ifell_____mybikeandhurtmyfoot.@#@A.fromB.ofC.off@#@()4.Wewill______songsinourfarewellparty.@#@A.singB.singingC.sang@#@()5.I’mgood________running.@#@A.ofB.onC.at@#@()6.–What____youdoyesterday?
@#@-Iwashedmyclothes.@#@A.doesB.doC.did@#@()7.Mikeoften_______ontheweekeund?
@#@@#@A.playedfootballB.playfootballC.playsfootball@#@()8.Istayedathome______myfatherthedaybeforeyesterday.@#@A.withB.onC.at@#@()9.-How______areyou?
@#@-I’m40kilograms.@#@A.heavyB.heavierC.tall@#@()10.-______didyoudothere?
@#@-Iwentcamping.@#@A.WhereB.WhatC.Who@#@八、Readandchoose.根据对话内容选择适当的句子补全对话,并把该句子的字母代号填在相应的横线上。
@#@(每小题2分,共计10分)@#@John:
@#@@#@Tom:
@#@Wow!
@#@Socute.Youwereunhappy!
@#@@#@John:
@#@Youareright.@#@A.BecauseIdidn’tlikewinter.@#@B.Ithoughtitwastoocold.@#@C.ButIcanice-skatenow.@#@D.Lookatmyphotosoflastwinter.@#@E.Let’sgonextweekend.@#@Tom:
@#@Why?
@#@@#@John:
@#@@#@Tom:
@#@Whynot?
@#@@#@John:
@#@@#@Tom:
@#@Whataboutnow?
@#@@#@John:
@#@Now,Ilovewinter.@#@Tom:
@#@Butitisstillcold.@#@John:
@#@Yes.@#@Tom:
@#@Really?
@#@Canyouteachmehowtoice-skatesomeday?
@#@@#@John:
@#@Sure!
@#@@#@Tom:
@#@Cool!
@#@Ican’twait!
@#@@#@九、Readandchoose.读短文,选择正确的选项。
@#@(每小题2分,共计10分)@#@ItwasaniceSaturday.JimandDavidwentclimbing.Atthefootofthemountain,theylookedupandshouted,“Wow!
@#@Howhighandbigthemountainis!
@#@”Thewholemountainwasgreen.Theairwascleanandfresh.Manysmallflowersweresmilingamongthegreengrass.Somelittlebirdswereflyingandsinginginthebluesky.@#@Theybegantoclimbthemountain.Anhour(小时)later,theygottothetopofit.Davidlookeddownandsawthewholecity.“Howbeautifulthecityis!
@#@”heshoutedloudly.Thentheytooksomepicturesbecausetheywantedtorememberthewonderfulforever.@#@()1.JimandDavidclimbedthemountains________.@#@A.lastSaturdayB.lastFridayC.lastSunday@#@()2.Themountainwas________.@#@A.highandyellowB.highandgreenC.bigandyellow@#@()3.Ittookthem_______togettothetopofthemountain.@#@A.onehourB.twohoursC.threehours@#@()4.Theysaw______whentheylookeddownonthemountain.@#@A.asmallvillageB.thewholecityC.abigforest@#@()5.They_____tokeepthewonderfulday.@#@A.calledtotheirfriendsB.drewthemountainC.tooksomepictures@#@sometimesgotbecomethanBeforefamilysomeactivestrongeram@#@十、Read,chooseandwrite.阅读短文,选择所给单词以恰当的形式填空,每个词只能用一次(每小题1分,共计10分)@#@ @#@NowIwilltellyou1.____________changesinme.NowIamtaller,taller_________before.EverydayIgrowbigger.EverydayIgrow3.____________.OnceIwasayoungboy,youngerthanI4.__________today.NowIamabigboy,andI’mbiggereveryday.I5.____________upearlyinthemorningforschool,andIwasearlierthanbefore.6.____________Igotupatfour.7.____________,Iwasquiet,Iwasn’tan8.____________boy.ButnowItalkalot.Ialwaystalkwithmyfriendand9.____________.Iliketochange.AndI10.____________better.@#@十一.Readandwrite.读句子,根据句子或首字母填写单词。
@#@(每小题1分,共计10分)@#@1.Iw___________somechildren’sshowsonTV.@#@2.I’m1.65metres.I’mt____________thanmybrother.@#@3.IhadacoldlastSunday.SoIjusts______________athomeandslept.@#@4.Mikeis49kilograms.Heish__________thanJohn.@#@5.Ir____________anewfilmmagazinelastnight.@#@6.Amyoftent________picturesontheweekend.@#@7.Ia______freshfruitlastnight.@#@8.Iw_________cyclingwithmybrotheryesterday.@#@9.TodayisFriday.Thedayb_____yesterdaywasWednesday.@#@10.Johnfelloffhisbikeandh_______hisfoot.@#@@#@2015学年第二学期小学六年级英语期末考试听力材料@#@一.Listenandchoose.听选单词。
@#@听下面10个单词,选出你听到的单词,并将该单词的字母代号填在题前的括号里。
@#@每个单词读两遍。
@#@(10分)@#@1.dream2.gift3.rode4.hall5.film6.cheep7.thought8.Star9.run10.Labour@#@二、Listenandchoosetherightanswer.听选答语。
@#@听下面10个句子,选择相应的答语的字母代号填入前面的括号内。
@#@每个句子读两遍。
@#@(每小题1分,共计10分)@#@1.Howwasyourweekend?
@#@@#@2.DidMikegotoschoolyesterday?
@#@@#@3.YouwenttoBeijinglastsummerholiday.Whodidyougowith?
@#@@#@4.Howtallisyourfather?
@#@@#@5.CanIseeyourpicturessometime?
@#@@#@6.Whatdidyoudolastweekend?
@#@@#@7.Whatdidshedolastnight?
@#@@#@8.Whatsizeareyourshoes?
@#@@#@9.Youdidn’tcometoschool.Whathappened?
@#@@#@10.Wheredidyougolastwinterholiday?
@#@@#@三.Listenandchoose.听小对话,选答案。
@#@听下面5段小对话,根据对话内容选择正确的选项,每个对话读2遍。
@#@(每小题2分,共计10分)@#@1.A:
@#@Excuseme,wastherealibraryinyourschooltwentyyearsago?
@#@@#@B:
@#@No,therewasn’t.@#@A:
@#@Howaboutnow?
@#@@#@B:
@#@Lookatthattallbuilding.It’sournewlibrary.@#@2.A:
@#@WhatdidyoudoonSaturdaymorning,John?
@#@@#@B:
@#@Icleanedmyroomandwashedmyclothes.@#@A:
@#@HowaboutSaturdayafternoon?
@#@@#@B:
@#@Iwentfishingwithmyfather.@#@3.A:
@#@Whatwasyourfavoriteseason,Sam?
@#@@#@B:
@#@Ilikedwinterbestbefore.BecauseitsnowedalotandIcouldice-skate.@#@A:
@#@Howaboutnow?
@#@@#@B:
@#@NowIlikeautumnbest.Ilovethecolorfulleaves.@#@4.A:
@#@Ourschoolwassosmallthirtyyearsago.Therewasonlyonesmallbuilding.@#@B:
@#@Wedidn’thavealibrary,andthereweren’tanycomputerroomsatall.@#@A:
@#@Therewasnodininghalleither!
@#@@#@5.A:
@#@WheredidyougolastSunday,MrJones?
@#@@#@B:
@#@Iwenttoaforestparkandwentcampingthere.@#@四、Listenandchoose.听较长对话,回答问题。
@#@听下面两段长对话,每段对话后有几个小题,请你根据对话内容选择最佳选项回答问题。
@#@每段对话读两遍。
@#@(每小题2分,共计10分)@#@听下面一段对话,回答第1和第2题。
@#@@#@G:
@#@Whatdidyoudolas";i:
28;s:
16954:
"小学生英语咬文嚼字@#@四通八达的“way”@#@ @#@@#@同学们,way这个单词看似简单,可它的用法却很丰富。
@#@让我们一起进入way的多彩世界吧!
@#@@#@way表示路,道路@#@Excuseme,canyoutellmethewaytostation?
@#@劳驾,请问到车站去怎么走?
@#@@#@Givemethemap,orImaylosemyway.拿地图看看,要不我迷路了。
@#@ @#@@#@way表示方向@#@如果你是学校的小导游,当有国际友人来到学校的时候,这句话是一定要学习的。
@#@@#@Thisway,please.请往这边走。
@#@@#@过马路时,也许妈妈会这么说:
@#@@#@Lookbothwaysbeforecrossingthestreet.过马路时向两边看一看。
@#@@#@way表示(做某事的)方式方法或手段@#@Wherethereisawill,thereisaway.有志者事竟成。
@#@@#@WhatisthebestwaytolearnEnglish?
@#@学习英语用什么办法最好?
@#@@#@way表示距离,路程@#@ItisalongwayfromSuzhoutoBeijing.苏州离北京很远。
@#@@#@Theschoolisalongwayoff.学校离这儿很远。
@#@@#@Way的常用短语@#@bytheway顺便说一句@#@intheway造成不便,阻碍@#@onthewayto在去……的路上@#@noway没门儿 @#@@#@Goodtoknow@#@highway公路@#@railway铁路@#@waterway水路@#@请用我们刚才学过的固定搭配来小试身手吧!
@#@@#@1.Weare____________________toschool.我们在去学校的路上。
@#@ @#@@#@2.____________________,doyouknowwherethepostofficeis?
@#@顺便问一下,你知道邮局在哪儿吗?
@#@@#@Key:
@#@@#@1.ontheway2.Bytheway@#@我爱“节、假”日@#@ @#@@#@同学们,圣诞节和元旦快到了,接下来还有春节,你们一定很喜欢节假日吧!
@#@那就让我们来认识一下英语里面关于节假日的英语表达,相信你一定会有收获。
@#@@#@安徽省蚌埠第一实验小学胡玉柱老师供稿@#@festival节日,指具有悠久历史的传统节日或持续一段时间的文娱活动。
@#@例如:
@#@@#@IgotoBeijingatSpringFestival @#@@#@everyyear. @#@@#@每年春节我都去北京。
@#@@#@Thiscityhasamusicfestivaleverysummer.@#@这座城市每年夏季举行一次音乐节。
@#@@#@holiday假期、休息日,指国家法定的或因风俗习惯而形成的节假日。
@#@例如:
@#@@#@Sundayisaholidaysomostpeopledonotwork.@#@星期天是个假日,多数人不工作。
@#@@#@NewYear’sDayisanationalholidayinChina.@#@在中国,元旦是全国性的假日。
@#@@#@vacation假期,指学习或工作中一段长时间的休息。
@#@@#@例如:
@#@@#@Youneedavacation.@#@你需要放假休息。
@#@@#@Attention@#@holiday,vacation在表示“假期”时可以互换,英国用holiday,美国用vacation,如winterholiday/vacation(寒假),onholiday/vacation(度假). @#@@#@Learnit@#@MyfriendJimwillbeinLondonfortheChristmas___________.@#@TomorrowIwanttogohomefortheBalloon____________.Doyouwanttocomewithme?
@#@ @#@@#@Work和job@#@ @#@@#@大连金州新区童牛岭小学张敬峰老师@#@张老师,到目前为止,我一共学习了两个意思是“工作”的词语,work和job。
@#@这两个词的用法有什么不一样的地方吗?
@#@@#@青岛方聪儿@#@聪儿同学你好!
@#@你问的问题非常好。
@#@work和job是同义词,都有“工作、职业”的意思。
@#@不过,它们之间还是有些区别的。
@#@@#@第一,job是可数名词,指特定工作。
@#@work是不可数名词,泛指工作。
@#@我们可以说ajob,不能说awork. @#@@#@比如:
@#@@#@Peterhasagoodjobinabank.@#@彼得在一家银行有份很好的工作。
@#@@#@Tomchanged1jobsmanytimes. @#@@#@汤姆换了很多工作。
@#@@#@Lookingafterthreecatsisnoteasywork. @#@@#@照顾三只猫咪可不是件容易事。
@#@@#@第二,上班用英文讲是gotowork。
@#@这是一个固定搭配,我们不能说gotoajob. @#@@#@Myfathergoestoworkat8am.我爸爸八点上班。
@#@@#@第三,job只能用作名词,work还可以用作动词,不仅有“上班、工作”的意思,还可以作“努力”或“从事”来讲。
@#@@#@比如:
@#@@#@Both2myparentswork.我父母都工作。
@#@@#@Ifyouworkhard,youwillspeakEnglishwell.只要你努力,你的英语就会讲得很好。
@#@@#@Haveago@#@1.Heisoutofa________.他没有工作。
@#@@#@2.Myfatherisoutof________.我爸爸失业了。
@#@@#@3.Elladidagood________onthetest.Ella这次考得很好。
@#@@#@‘a’or‘an’?
@#@@#@ @#@@#@如何使用不定冠词a和an呢?
@#@@#@a和an是英语中的不定冠词。
@#@很多同学在使用它们的时候,会出现一些小问题。
@#@这期语法串串烧就来给大家讲解一下。
@#@@#@a和an指同类事物中的某一个。
@#@@#@a一般用在以辅音开头的单词前。
@#@比如:
@#@@#@Therearesevendaysinaweek. @#@@#@Thereisacupofcoffeeonthetable. @#@@#@an一般用以元音开头的单词前。
@#@比如:
@#@@#@Anelephantlikesmudbaths(泥浴).@#@Thereare60minutesinanhour. @#@@#@容易犯的小错误:
@#@@#@有些名词是以元音字母开头,但这个元音字母却发辅音。
@#@这时我们就不用an了,要用a。
@#@比如:
@#@@#@auniversity(一所大学) @#@@#@auniform1(一件校服) @#@@#@有些名词是以辅音字母开头,但名词发音是以元音开头。
@#@这时我们就要用an。
@#@比如:
@#@@#@anhour(一个小时) @#@@#@anhonour(一项荣誉) @#@@#@练一练@#@1.____monkeycanclimbtrees. @#@@#@2.Mygrandfatheris____teacher.Heis____oldteacher. @#@@#@3.Tomis_____actor(演员). @#@@#@4.Thatis____appletree.It’s____smalltree. @#@@#@“非常”不一样@#@ @#@@#@Jacky是个小马虎。
@#@在英语学习中,他常会犯一些小错误。
@#@我们先来看看他的作文吧!
@#@@#@Mymomisadoctor.@#@Sheiskindandnice.Iveryloveher.Sheisverymuchbusyeveryday.Shetreats(对待)herpatients1verywell. @#@@#@同学们,Jacky在作文中出了两个错。
@#@我们已经帮Jacky改正了,现在一起来看看。
@#@@#@1.Iloveherverymuch.我非常喜欢她。
@#@@#@2.Sheisverybusyeveryday. @#@@#@她每天都很忙。
@#@@#@very和verymuch都有“非常,很”的意思,但它们的用法是不同的。
@#@有什么区别呢?
@#@@#@1.very表示“非常、很、十分”,只能修饰形容词和副词的原形。
@#@very要放在它们的前面。
@#@如:
@#@Itisveryhottoday.或Thefrog2jumpsveryhigh. @#@@#@2.修饰动词的“非常、十分”要用verymuch。
@#@verymuch要放在动词之后或句子的最后。
@#@如:
@#@Tomlikesghoststories(鬼故事)verymuch.@#@3.另外,有些动词和形容词,习惯上用well来修饰,表示“非常、很”。
@#@如:
@#@Iknowhimwell.我非常了解他。
@#@Youspeak @#@@#@Englishverywell.你英语说得真好。
@#@@#@Haveago@#@练一练(改错):
@#@@#@1.IverywanttotravelinBeijing. @#@@#@2.Itisverycoldertoday. @#@@#@3.Thebookisverymuchinteresting.@#@四川省成都天涯石小学潘小平老师@#@which还是what来提问呢?
@#@@#@ @#@@#@徐老师,Whatcolorareyourshoes?
@#@和Whichcolorareyourshoes?
@#@这两个句子,哪个是对的?
@#@@#@大连丁嘉哲@#@嘉哲同学,你好!
@#@你的问题其他很多同学也感到非常困惑。
@#@我们一起来看看which和what用法上的区别。
@#@@#@which和what都是疑问代词,它们用来指代人或物。
@#@@#@用which提问时,是让对方在一定范围内做出选择。
@#@比如:
@#@@#@Whichfruitdoyouliketoeatmore,applesororanges?
@#@@#@用what提问时,是没有限定范围的。
@#@比如:
@#@@#@Whatfruitdoyoulikebest?
@#@ @#@@#@which表示在一定数量的人或物中选择;@#@而what表示选择人或物的种类。
@#@比如:
@#@@#@Therearetoomanydressesinthisshop.Idon’tknowwhichtobuy.(商场里裙子太多了,我不知道该买哪一条。
@#@)@#@Therearetoomanythingsinthisshop.Idon’tknowwhattobuy.(商场里的东西太多了,我不知道要买什么。
@#@)@#@上海进才实验学校徐蔚华@#@Haveago@#@1.Thisismypencil.__________isyours?
@#@@#@2.__________animalsrunfaster?
@#@Tigersorcheetahs(猎豹)?
@#@@#@3.__________subjectsdoyouhaveeveryday?
@#@@#@4.__________isyourfavoritesport?
@#@@#@keys:
@#@1which2which3what4what@#@“一些”问题—some和any@#@ @#@@#@同学们,some和any是我们经常用到表示“一些”的词汇,可是有些同学还是不太清楚它们的用法,现在我们一起来看看这两个词到底有哪些区别。
@#@@#@一般规则@#@some用于肯定句,any用于否定句和疑问句。
@#@@#@Tomputssomeapplesonthetable.汤姆把一些苹果放在桌子上。
@#@@#@Doyouhaveanyquestions?
@#@你还有什么问题吗?
@#@@#@Therearenotanymistakes1inthestory.这篇作文里没有任何错误。
@#@@#@有同学问,我还常在肯定句中看见any,在疑问句中看见some,这是怎么回事呢?
@#@@#@some用在疑问句中@#@表示我们希望得到对方肯定的回答,句中常出现情态动词can,would,may和could。
@#@@#@MayIaskyousomequestions?
@#@我能问你一些问题吗?
@#@@#@CanIhavesomevegetables?
@#@我能拿些水果吗?
@#@@#@any用在肯定句中@#@后面常接可数名词的单数,表示“任何一个”。
@#@@#@Youcanaskanystudentintheclass.你可以问班上的任何一个同学。
@#@@#@Anycolorwilldo.什么颜色都行。
@#@@#@同学们,现在请你来解决“一些”问题吧!
@#@试用some和any填空。
@#@@#@1.Marygives________bookstome. @#@@#@2.Arethere________lettersforme?
@#@@#@3.Wouldyoulike________milkinyourcoffee?
@#@@#@4.Youcantake________giftyoulike.@#@Key:
@#@@#@1.some2.any3.some4.any@#@~ed还是~ing?
@#@@#@ @#@@#@“六一”儿童节就要到了,你是不是要参加一些有趣的活动?
@#@用英文如何表达,是interesting还是interested?
@#@让我们一起看看这两个词的区别吧!
@#@@#@interested形容词,感兴趣的。
@#@常见的搭配是beinterestedinsth.某人对某事感兴趣。
@#@@#@I’minterestedincartoons.我对卡通很感兴趣。
@#@@#@interesting形容词,有趣的,引起兴趣的。
@#@@#@Itisaninterestingcomic1book. @#@@#@这是本有意思的漫画书。
@#@@#@如果你觉得还是容易搞混,那么可以试着这样理解一下:
@#@@#@以-ed结尾的形容词@#@形容人@#@如果看到它修饰的是人,或一个动作是由人发出的就用~ed。
@#@@#@以-ing结尾的形容词@#@形容物@#@如果看到它修饰的是物,就用~ing。
@#@@#@这样成对的形容词,你们还知道多少?
@#@@#@bored/boring@#@I'@#@mboredwiththismovie. @#@@#@我觉得这电影真无聊。
@#@@#@Theclassisboring. @#@@#@这堂课真没意思。
@#@@#@excited/exciting@#@Weareexcitedwhenweplayontheplayground.@#@我们在操场上玩的时候很兴奋。
@#@@#@CouldyoutellusaboutyourexcitingtriptotheShanghaiExpo?
@#@@#@可以讲讲你那令人兴奋的上海世博会之旅吗?
@#@@#@Haveago@#@现在我们就一起来区分一下这些形容词吧:
@#@@#@1.Areyou____________(pleased,pleasing)withyournewcoat?
@#@你对新外套满意吗?
@#@@#@2.Thegirlsget____________(exciting,excited)forthecomingparty.女孩们对即将到来的聚会感到兴奋。
@#@@#@3.Whata____________(tiring,tired)day!
@#@Ineedagoodrest.好累的一天!
@#@我需要好好休息一下。
@#@@#@Key:
@#@@#@1.pleased2.excited @#@@#@3.tiring@#@多少与多久@#@ @#@@#@同学们,以how开头的句子你知道多少?
@#@相信聪明的你闭着眼睛也能说出一串。
@#@今天我给大家介绍两组词组:
@#@它们分别是双胞胎姐妹howmany,howmuch以及三胞胎兄弟howlong,howfar和howoften。
@#@@#@先来看看我们的两位姐妹:
@#@@#@howmany我用来提问多少,后面接可数@#@名词。
@#@@#@HowmanyhoursdoesFattysleepeveryday?
@#@@#@懒羊羊一天要睡多少个小时?
@#@@#@howmuch我也用来提问多少,不过后接不可数名词,而且还可提问重量或价格。
@#@@#@Howmuchmilkisthereintheglass?
@#@ @#@@#@玻璃杯里有多少牛奶?
@#@@#@HowmuchdoesthisBarbiedollcost?
@#@ @#@@#@这个芭比娃娃多少钱?
@#@@#@接下来再一起看看我们的三位兄弟:
@#@@#@howoften我用来询问事情的发生频率,回答时常用表示频率的短语,如:
@#@onceaday(一天一次)等。
@#@@#@–Howoftendoyouplaycomputer1gameseachweek?
@#@ @#@@#@–Twiceaweek. @#@@#@你多久玩一次电脑游戏?
@#@一周两次。
@#@@#@howfar我用来询问距离。
@#@@#@HowfarisitfromBeijingtoHongKong?
@#@ @#@@#@北京到香港有多远?
@#@@#@howlong和前面两位比,本领就大啦!
@#@我用来对一段时间进行提问,还可以提问某物有多长。
@#@@#@HowlongareyougoingtostayinShanghai?
@#@你将在上海呆多久?
@#@@#@HowlongistheGreatWall?
@#@ @#@@#@长城有多长?
@#@@#@双胞胎和三胞胎分别亮相过后,该考考你的识别能力了,下面就请你动动脑筋,选出正确的那一个吧!
@#@@#@1.__________________(Howmany/Howmuch)studentsarethereinyourclass?
@#@@#@2.–__________________(Howlong/Howoften/Howfar)doyouvisityourgrandmother?
@#@–Onceaweek.@#@3.__________________(Howlong/Howoften/Howfar)istheYellowRiver?
@#@@#@Key:
@#@@#@1.Howmany2.Howoften@#@3.Howlong@#@巧逛商店@#@ @#@@#@同学们,你们喜欢购物吗?
@#@如果你是个细心的人,一定会发现我们学过的store与shop都可以叫做“商店”,你知道它们的区别吗,咱们一起来比较一下吧!
@#@@#@store一般是指规模比较大的仓储式商店或百货公司。
@#@@#@shop一般指小型商店或小商铺等。
@#@@#@Mostdepartmentstoreshavebigsales1beforeChristmasintheUS.@#@在美国,圣诞节前夕,多数百货公司都有大优惠。
@#@@#@Shebuysachairatthedepartmentstore.@#@她在百货公司买了一把椅子。
@#@@#@Therearemanynewhousesandshopsalongthestreet. @#@@#@沿街有许多房屋和店铺。
@#@@#@Isthereacakeshopnearby?
@#@ @#@@#@请问附近有没有西饼店?
@#@@#@英文中还有许多关于商店的固定搭配:
@#@@#@grocery2store食品杂货店@#@petshop宠物店@#@bookstore书店@#@drugstore药品杂货店@#@Attention@#@在美国store较常用;@#@而在英国,人们则多用shop。
@#@@#@Goodtoknow@#@报摊newsstand@#@超级市场supermarket@#@外卖take-out @#@@#@练一练@#@1.Waitformeforamoment,I'@#@llgetsomemagazinesatthenearest_______________(报摊).@#@2.–What’swrongwithyou?
@#@Youlookbad.–Ihaveacold.I’llgetsomemedicinefromthe_______________(药店).@#@Key:
@#@@#@1.newsstand2.drugstore@#@开关秘笈@#@ @#@@#@同学们,开和关在英文中有多种说法,常见的就是open/close和turnon/off,它们的用法各有不同,我们一起来看看吧!
@#@@#@open/close通常指打开或关闭门窗,盒子,书报,信封等。
@#@@#@Letmeopenthedoorforyou!
@#@@#@让我为你开门吧!
@#@@#@Heopensamaponthetable. @#@@#@他在桌上摊开地图。
@#@@#@open/close还指营业或停止营业。
@#@@#@Thebankscloseat5:
@#@30pm.@#@银行下午5点半停止营业。
@#@@#@open/close睁开/闭上眼睛@#@Closeyoureyesandhaveanicedream.@#@闭上眼做个好梦吧。
@#@@#@turnon/turnoff不高兴了。
@#@我们也是开关的意思呀,怎么把我们忘了?
@#@@#@turnon/off表示开关电器,打开或关上水,电灯和煤气的开关等。
@#@如:
@#@@#@Pleaseturnoffthelightwhenyouleave. @#@@#@请随手关灯。
@#@@#@Turnonthetap1slowly,andturnitoffafteruse. @#@@#@洗手时慢慢打开水龙头,用完后把它关上。
@#@@#@常见搭配@#@打开电脑turnonthecomputer@#@打开(电脑)文件openafile@#@敞开心扉openone'@#@smind@#@现在让我们小试身手吧!
@#@@#@1.It’scoldoutside2,please__________(close/turnoff)thewindow.@#@2.Theshop____________(open/turnon)at9:
@#@00inthemorning.@#@3.Youhaveto____________(close/turnoff)thewaterwhenyouleave. @#@@#@4.Let’s____________(open/turnon)ourmindandhaveaheart-to-hearttalk.@#@Key:
@#@@#@1.close2.opens @#@@#@3.turnoff4.open@#@各种各样的present@#@ @#@@#@同学们,Didyouge";i:
29;s:
21350:
"国家安全生产监督管理总局令@#@第23号@#@《作业场所职业健康监督管理暂行规定》已经2009年6月15日国家安全生产监督管理总局局长办公会议审议通过,现予公布,自2009年9月1日起施行。
@#@@#@局长骆琳 @#@@#@二○○九年七月一日@#@作业场所职业健康监督管理暂行规定@#@第一章总则@#@第一条为了加强工矿商贸生产经营单位作业场所职业健康的监督管理,强化生产经营单位职业危害防治的主体责任,预防、控制和消除职业危害,保障从业人员生命安全和健康,根据《职业病防治法》、《安全生产法》等法律、行政法规和国务院有关职业健康监督检查职责调整的规定,制定本规定。
@#@@#@第二条除煤矿企业以外的工矿商贸生产经营单位(以下简称生产经营单位)作业场所的职业危害防治和安全生产监督管理部门对其实施监督管理工作,适用本规定。
@#@@#@煤矿企业作业场所的职业危害防治和煤矿安全监察机构对其实施监察工作,另行规定。
@#@@#@第三条生产经营单位应当加强作业场所的职业危害防治工作,为从业人员提供符合法律、法规、规章和国家标准、行业标准的工作环境和条件,采取有效措施,保障从业人员的职业健康。
@#@@#@第四条生产经营单位是职业危害防治的责任主体。
@#@@#@生产经营单位的主要负责人对本单位作业场所的职业危害防治工作全面负责。
@#@@#@第五条国家安全生产监督管理总局负责全国生产经营单位作业场所职业健康的监督管理工作。
@#@@#@县级以上地方人民政府安全生产监督管理部门负责本行政区域内生产经营单位作业场所职业健康的监督管理工作。
@#@@#@第六条为作业场所职业危害防治提供技术服务的职业健康技术服务机构,应当依照法律、法规、规章和执业准则,为生产经营单位提供技术服务。
@#@@#@第七条任何单位和个人均有权向安全生产监督管理部门举报生产经营单位违反本规定的行为和职业危害事故。
@#@@#@第二章生产经营单位的职责@#@第八条存在职业危害的生产经营单位应当设置或者指定职业健康管理机构,配备专职或者兼职的职业健康管理人员,负责本单位的职业危害防治工作。
@#@@#@第九条生产经营单位的主要负责人和职业健康管理人员应当具备与本单位所从事的生产经营活动相适应的职业健康知识和管理能力,并接受安全生产监督管理部门组织的职业健康培训。
@#@@#@第十条生产经营单位应当对从业人员进行上岗前的职业健康培训和在岗期间的定期职业健康培训,普及职业健康知识,督促从业人员遵守职业危害防治的法律、法规、规章、国家标准、行业标准和操作规程。
@#@@#@第十一条存在职业危害的生产经营单位应当建立、健全下列职业危害防治制度和操作规程:
@#@@#@
(一)职业危害防治责任制度;@#@@#@
(二)职业危害告知制度;@#@@#@(三)职业危害申报制度;@#@@#@(四)职业健康宣传教育培训制度;@#@@#@(五)职业危害防护设施维护检修制度;@#@@#@(六)从业人员防护用品管理制度;@#@@#@(七)职业危害日常监测管理制度;@#@@#@(八)从业人员职业健康监护档案管理制度;@#@@#@(九)岗位职业健康操作规程;@#@@#@(十)法律、法规、规章规定的其他职业危害防治制度。
@#@@#@第十二条存在职业危害的生产经营单位的作业场所应当符合下列要求:
@#@@#@
(一)生产布局合理,有害作业与无害作业分开;@#@@#@
(二)作业场所与生活场所分开,作业场所不得住人;@#@@#@(三)有与职业危害防治工作相适应的有效防护设施;@#@@#@(四)职业危害因素的强度或者浓度符合国家标准、行业标准;@#@@#@(五)法律、法规、规章和国家标准、行业标准的其他规定。
@#@@#@第十三条存在职业危害的生产经营单位,应当按照有关规定及时、如实将本单位的职业危害因素向安全生产监督管理部门申报,并接受安全生产监督管理部门的监督检查。
@#@@#@第十四条新建、改建、扩建的工程建设项目和技术改造、技术引进项目(以下统称建设项目)可能产生职业危害的,建设单位应当按照有关规定,在可行性论证阶段委托具有相应资质的职业健康技术服务机构进行预评价。
@#@职业危害预评价报告应当报送建设项目所在地安全生产监督管理部门备案。
@#@@#@第十五条产生职业危害的建设项目应当在初步设计阶段编制职业危害防治专篇。
@#@职业危害防治专篇应当报送建设项目所在地安全生产监督管理部门备案。
@#@@#@第十六条建设项目的职业危害防护设施应当与主体工程同时设计、同时施工、同时投入生产和使用(以下简称“三同时”)。
@#@职业危害防护设施所需费用应当纳入建设项目工程预算。
@#@@#@第十七条建设项目在竣工验收前,建设单位应当按照有关规定委托具有相应资质的职业健康技术服务机构进行职业危害控制效果评价。
@#@建设项目竣工验收时,其职业危害防护设施依法经验收合格,取得职业危害防护设施验收批复文件后,方可投入生产和使用。
@#@@#@职业危害控制效果评价报告、职业危害防护设施验收批复文件应当报送建设项目所在地安全生产监督管理部门备案。
@#@@#@第十八条存在职业危害的生产经营单位,应当在醒目位置设置公告栏,公布有关职业危害防治的规章制度、操作规程和作业场所职业危害因素监测结果。
@#@@#@对产生严重职业危害的作业岗位,应当在醒目位置设置警示标识和中文警示说明。
@#@警示说明应当载明产生职业危害的种类、后果、预防和应急处置措施等内容。
@#@@#@第十九条生产经营单位必须为从业人员提供符合国家标准、行业标准的职业危害防护用品,并督促、教育、指导从业人员按照使用规则正确佩戴、使用,不得发放钱物替代发放职业危害防护用品。
@#@@#@生产经营单位应当对职业危害防护用品进行经常性的维护、保养,确保防护用品有效。
@#@不得使用不符合国家标准、行业标准或者已经失效的职业危害防护用品。
@#@@#@第二十条生产经营单位对职业危害防护设施应当进行经常性的维护、检修和保养,定期检测其性能和效果,确保其处于正常状态。
@#@不得擅自拆除或者停止使用职业危害防护设施。
@#@@#@第二十一条存在职业危害的生产经营单位应当设有专人负责作业场所职业危害因素日常监测,保证监测系统处于正常工作状态。
@#@监测的结果应当及时向从业人员公布。
@#@@#@第二十二条存在职业危害的生产经营单位应当委托具有相应资质的中介技术服务机构,每年至少进行一次职业危害因素检测,每三年至少进行一次职业危害现状评价。
@#@定期检测、评价结果应当存入本单位的职业危害防治档案,向从业人员公布,并向所在地安全生产监督管理部门报告。
@#@@#@第二十三条生产经营单位在日常的职业危害监测或者定期检测、评价过程中,发现作业场所职业危害因素的强度或者浓度不符合国家标准、行业标准的,应当立即采取措施进行整改和治理,确保其符合职业健康环境和条件的要求。
@#@@#@第二十四条向生产经营单位提供可能产生职业危害的设备的,应当提供中文说明书,并在设备的醒目位置设置警示标识和中文警示说明。
@#@警示说明应当载明设备性能、可能产生的职业危害、安全操作和维护注意事项、职业危害防护措施等内容。
@#@@#@第二十五条向生产经营单位提供可能产生职业危害的化学品等材料的,应当提供中文说明书。
@#@说明书应当载明产品特性、主要成份、存在的有害因素、可能产生的危害后果、安全使用注意事项、职业危害防护和应急处置措施等内容。
@#@产品包装应当有醒目的警示标识和中文警示说明。
@#@贮存场所应当设置危险物品标识。
@#@@#@第二十六条任何生产经营单位不得使用国家明令禁止使用的可能产生职业危害的设备或者材料。
@#@@#@第二十七条任何单位和个人不得将产生职业危害的作业转移给不具备职业危害防护条件的单位和个人。
@#@不具备职业危害防护条件的单位和个人不得接受产生职业危害的作业。
@#@@#@第二十八条生产经营单位应当优先采用有利于防治职业危害和保护从业人员健康的新技术、新工艺、新材料、新设备,逐步替代产生职业危害的技术、工艺、材料、设备。
@#@@#@第二十九条生产经营单位对采用的技术、工艺、材料、设备,应当知悉其可能产生的职业危害,并采取相应的防护措施。
@#@对可能产生职业危害的技术、工艺、材料、设备故意隐瞒其危害而采用的,生产经营单位主要负责人对其所造成的职业危害后果承担责任。
@#@@#@第三十条生产经营单位与从业人员订立劳动合同(含聘用合同,下同)时,应当将工作过程中可能产生的职业危害及其后果、职业危害防护措施和待遇等如实告知从业人员,并在劳动合同中写明,不得隐瞒或者欺骗。
@#@生产经营单位应当依法为从业人员办理工伤保险,缴纳保险费。
@#@@#@从业人员在履行劳动合同期间因工作岗位或者工作内容变更,从事与所订立劳动合同中未告知的存在职业危害的作业的,生产经营单位应当依照前款规定,向从业人员履行如实告知的义务,并协商变更原劳动合同相关条款。
@#@@#@生产经营单位违反本条第一款、第二款规定的,从业人员有权拒绝作业。
@#@生产经营单位不得因从业人员拒绝作业而解除或者终止与从业人员所订立的劳动合同。
@#@@#@第三十一条对接触职业危害的从业人员,生产经营单位应当按照国家有关规定组织上岗前、在岗期间和离岗时的职业健康检查,并将检查结果如实告知从业人员。
@#@职业健康检查费用由生产经营单位承担。
@#@@#@生产经营单位不得安排未经上岗前职业健康检查的从业人员从事接触职业危害的作业;@#@不得安排有职业禁忌的从业人员从事其所禁忌的作业;@#@对在职业健康检查中发现有与所从事职业相关的健康损害的从业人员,应当调离原工作岗位,并妥善安置;@#@对未进行离岗前职业健康检查的从业人员,不得解除或者终止与其订立的劳动合同。
@#@@#@第三十二条生产经营单位应当为从业人员建立职业健康监护档案,并按照规定的期限妥善保存。
@#@@#@从业人员离开生产经营单位时,有权索取本人职业健康监护档案复印件,生产经营单位应当如实、无偿提供,并在所提供的复印件上签章。
@#@@#@第三十三条生产经营单位不得安排未成年工从事接触职业危害的作业;@#@不得安排孕期、哺乳期的女职工从事对本人和胎儿、婴儿有危害的作业。
@#@@#@第三十四条生产经营单位发生职业危害事故,应当及时向所在地安全生产监督管理部门和有关部门报告,并采取有效措施,减少或者消除职业危害因素,防止事故扩大。
@#@对遭受职业危害的从业人员,及时组织救治,并承担所需费用。
@#@@#@生产经营单位及其从业人员不得迟报、漏报、谎报或者瞒报职业危害事故。
@#@@#@第三十五条作业场所使用有毒物品的生产经营单位,应当按照有关规定向安全生产监督管理部门申请办理职业卫生安全许可证。
@#@@#@第三十六条生产经营单位在安全生产监督管理部门行政执法人员依法履行监督检查职责时,应当予以配合,不得拒绝、阻挠。
@#@@#@第三章监督管理@#@第三十七条安全生产监督管理部门依法对生产经营单位执行有关职业危害防治的法律、法规、规章和国家标准、行业标准的下列情况进行监督检查:
@#@@#@
(一)职业健康管理机构设置、人员配备情况;@#@@#@
(二)职业危害防治制度和规程的建立、落实及公布情况;@#@@#@(三)主要负责人、职业健康管理人员、从业人员的职业健康教育培训情况;@#@@#@(四)作业场所职业危害因素申报情况;@#@@#@(五)作业场所职业危害因素监测、检测及结果公布情况;@#@@#@(六)职业危害防护设施的设置、维护、保养情况,以及个体防护用品的发放、管理及从业人员佩戴使用情况;@#@@#@(七)职业危害因素及危害后果告知情况;@#@@#@(八)职业危害事故报告情况;@#@@#@(九)依法应当监督检查的其他情况。
@#@@#@第三十八条安全生产监督管理部门应当建立健全职业危害的监督检查制度,加强行政执法人员职业健康知识的培训,提高行政执法人员的业务素质。
@#@@#@第三十九条安全生产监督管理部门应当建立健全职业危害防护设施“三同时”的备案管理制度,加强职业危害相关资料的档案管理。
@#@@#@第四十条安全生产监督管理部门对从事职业危害防治工作的职业健康技术服务机构实行登记备案管理制度。
@#@依法取得相应资质的职业健康技术服务机构,应当向安全生产监督管理部门登记备案。
@#@@#@从事作业场所职业危害检测、评价等工作的中介技术服务机构应当客观、真实、准确地开展检测、评价工作,并对其检测、评价的结果负责。
@#@@#@第四十一条安全生产监督管理部门应当加强对职业健康技术服务机构的监督检查,发现存在违法违规行为的,及时向有关部门通报。
@#@@#@第四十二条安全生产监督管理部门行政执法人员依法履行监督检查职责时,应当出示有效的执法证件。
@#@@#@行政执法人员应当忠于职守,秉公执法,严格遵守执法规范;@#@对涉及被检查单位的技术秘密和业务秘密的,应当为其保密。
@#@@#@第四十三条安全生产监督管理部门履行监督检查职责时,有权采取下列措施:
@#@@#@
(一)进入被检查单位及作业场所,进行职业危害检测,了解有关情况,调查取证;@#@@#@
(二)查阅、复制被检查单位有关职业危害防治的文件、资料,采集有关样品;@#@@#@(三)对有根据认为不符合职业危害防治的国家标准、行业标准的设施、设备、器材予以查封或者扣押,并应当在15日内依法作出处理决定。
@#@@#@第四十四条发生职业危害事故的,安全生产监督管理部门应当并依照国家有关规定报告事故和组织事故的调查处理。
@#@@#@第四章罚则@#@第四十五条生产经营单位有下列情形之一的,给予警告,责令限期改正;@#@逾期未改正的,处2万元以下的罚款:
@#@@#@
(一)未按照规定设置或者指定职业健康管理机构,或者未配备专职或者兼职的职业健康管理人员的;@#@@#@
(二)未按照规定建立职业危害防治制度和操作规程的;@#@@#@(三)未按照规定公布有关职业危害防治的规章制度和操作规程的;@#@@#@(四)生产经营单位主要负责人、职业健康管理人员未按照规定接受职业健康培训的;@#@@#@(五)生产经营单位未按照规定组织从业人员进行职业健康培训的;@#@@#@(六)作业场所职业危害因素监测、检测和评价结果未按照规定存档、报告和公布的。
@#@@#@第四十六条生产经营单位有下列情形之一的,责令限期改正,给予警告,可以并处2万元以上5万元以下的罚款:
@#@@#@
(一)未按照规定及时、如实申报职业危害因素的;@#@@#@
(二)未按照规定设有专人负责作业场所职业危害因素日常监测,或者监测系统不能正常监测的;@#@@#@(三)订立或者变更劳动合同时,未告知从业人员职业危害真实情况的;@#@@#@(四)未按照规定组织从业人员进行职业健康检查、建立职业健康监护档案,或者未将检查结果如实告知从业人员的。
@#@@#@第四十七条生产经营单位有下列情形之一的,给予警告,责令限期改正;@#@逾期未改正的,处5万元以上20万元以下的罚款;@#@情节严重的,责令停止产生职业危害的作业,或者提请有关人民政府按照国务院规定的权限责令关闭:
@#@@#@
(一)作业场所职业危害因素的强度或者浓度超过国家标准、行业标准的;@#@@#@
(二)未提供职业危害防护设施和从业人员使用的职业危害防护用品,或者提供的职业危害防护设施和从业人员使用的职业危害防护用品不符合国家标准、行业标准的;@#@@#@(三)未按照规定对职业危害防护设施和从业人员职业危害防护用品进行维护、检修、检测,并保持正常运行、使用状态的;@#@@#@(四)未按照规定对作业场所职业危害因素进行检测、评价的;@#@@#@(五)作业场所职业危害因素经治理仍然达不到国家标准、行业标准的;@#@@#@(六)发生职业危害事故,未采取有效措施,或者未按照规定及时报告的;@#@@#@(七)未按照规定在产生职业危害的作业岗位醒目位置公布操作规程、设置警示标识和中文警示说明的;@#@@#@(八)拒绝安全生产监督管理部门依法履行监督检查职责的。
@#@@#@第四十八条生产经营单位有下列情形之一的,责令限期改正,并处5万元以上30万元以下的罚款;@#@情节严重的,责令停止产生职业危害的作业,或者提请有关人民政府按照国务院规定的权限责令关闭:
@#@@#@
(一)隐瞒技术、工艺、材料所产生的职业危害而采用的;@#@@#@
(二)使用国家明令禁止使用的可能产生职业危害的设备或者材料的;@#@@#@(三)将产生职业危害的作业转移给没有职业危害防护条件的单位和个人,或者没有职业危害防护条件的单位和个人接受产生职业危害作业的;@#@@#@(四)擅自拆除、停止使用职业危害防护设施的;@#@@#@(五)安排未经职业健康检查的从业人员、有职业禁忌的从业人员、未成年工或者孕期、哺乳期女职工从事接触产生职业危害作业或者禁忌作业的。
@#@@#@第四十九条生产经营单位违反有关职业危害防治法律、法规、规章和国家标准、行业标准的规定,已经对从业人员生命健康造成严重损害的,责令停止产生职业危害的作业,或者提请有关人民政府按照国务院规定的权限责令关闭,并处10万元以上30万元以下的罚款。
@#@@#@第五十条建设项目职业危害预评价报告、职业危害防治专篇、职业危害控制效果评价报告和职业危害防护设施验收批复文件未按照本规定要求备案的,给予警告、并处3万元以下的罚款。
@#@@#@第五十一条向生产经营单位提供可能产生职业危害的设备或者材料,未按照规定提供中文说明书或者设置警示标识和中文警示说明的,责令限期改正,给予警告,并处5万元以上20万元以下的罚款。
@#@@#@第五十二条安全生产监督管理部门及其行政执法人员未按照规定报告职业危害事故的,依照有关规定给予处理;@#@构成犯罪的,依法追究刑事责任。
@#@@#@第五十三条本规定所规定的对作业场所职业健康违法行为的处罚,由县级以上安全生产监督管理部门决定。
@#@法律、行政法规和国务院有关规定对行政处罚决定机关另有规定的,依照其规定。
@#@@#@第五章附则@#@第五十四条本规定下列用语的含义:
@#@@#@作业场所,是指从业人员进行职业活动的所有地点,包括建设单位施工场所。
@#@@#@职业危害,是指从业人员在从事职业活动中,由于接触粉尘、毒物等有害因素而对身体健康所造成的各种损害。
@#@@#@职业禁忌,是指从业人员从事特定职业或者接触特定职业危害因素时,比一般职业人群更易于遭受职业危害损伤和罹患职业病,或者可能导致原有自身疾病病情加重,或者在从事作业过程中诱发可能导致对他人生命健康构成危险的疾病的个人特殊生理或者病理状态。
@#@@#@第五十五条本规定未规定的职业危害防治的其他有关事项,依照《职业病防治法》和其他有关法律、行政法规的规定执行。
@#@@#@第五十六条本规定自2009年9月1日起施行。
@#@@#@";i:
30;s:
2576:
"26个英文字母专项练习题@#@姓名@#@@#@一、按格式、按字母顺序、按要求默写26个字母。
@#@(13分)@#@二、根据给出的大写字母写出相应的小写字母。
@#@(13分)@#@QWERTYUIOPASD@#@FGHJKLZXCVBNM@#@三、根据给出的小写字母写出相应的大写字母。
@#@(13分)@#@mnbvcxzlkjhgf@#@dsapoiuytrewq@#@四、根据前后字母写出所缺字母。
@#@(13分)@#@
(1)AaBbDdGgIiKkMmNnOoSsUuWwZz@#@五、请根据下面的印刷体写出手写体。
@#@(8分)@#@AaEeFfGgIiJj@#@KkLlPpQqRrTt@#@UuXxYyWw@#@六、判断下面哪组字母的排列顺序是正确的,正确的打“√”,不正确的打“X”,并将不正确的按正确顺序排列。
@#@(10分)@#@()
(1)ADCBFE@#@()
(2)JHIGKL@#@()(3)NMOQP@#@()(4)RSTVU@#@()(5)WXYZ@#@()(6)adcb@#@()(7)hijk@#@()(8)efg@#@()(9)oqpr@#@()(10)wvxyz@#@七、写出所给字母的邻居。
@#@(10分)@#@1.F2.G3.k@#@4.o5.m6.v@#@7.Hh8.Ll8.Rr@#@八、改成大写。
@#@(8分)@#@applepencilerasercake@#@@#@milkorangebirdfish@#@@#@15.改成小写。
@#@(8分)@#@YELLOWNIGHTCRAYONPANDA@#@@#@@#@FISHBROWNWHITEEIGHT@#@@#@@#@";i:
31;s:
21284:
"小学四年级数学应用题汇总80题完整版带详细答案@#@小学四年级应用题汇总训练80题@#@1、小象出生时的体重是100千克,假如以后每年增加200千克,15年后它的体重是多少千克?
@#@@#@100+200×@#@15=3100(千克)@#@@#@2、北京到巴黎的飞机时速是895千米/小时,魔鬼老师乘飞机从北京飞到巴黎,用了11个小时,请问从北京到巴黎的空中距离是多少千米?
@#@@#@895×@#@11=9845(千米)@#@ @#@@#@3、北京到武汉的高铁路线长1160千米,和谐号高速列车北京和武汉之间往返两次所需要的里程数是多少?
@#@@#@1160×@#@4=4640(千米)@#@ @#@@#@4、童子军去野外参加生存训练,每辆大巴车能坐54名同学,需要用4辆大巴车,全部同学做好后,其中1辆大巴车还空车7个座位,请问参加此次活动的童子军一共有多少人?
@#@@#@54×@#@4-7=209(人)@#@ @#@@#@5、举行抗战胜利阅兵式,士兵方针有8个,每个方阵有48名队员,抗战老兵方针有6个,每隔方阵24人,两种方阵的士兵和抗战老兵一共有多少人?
@#@@#@48×@#@8+6×@#@24=528(人)@#@ @#@@#@6、欣欣在目前节给目前买花,康乃馨每支6元,白玫瑰每支10元,两种花各买了8枝,请问欣欣一共花了多少钱?
@#@@#@(6+10)×@#@8=128(元)@#@ @#@@#@7、师徒两人同时加工一批零件,师傅每小时加工150个,徒弟每小时加工125个,6小时两人完成了任务,完成任务时,师傅比徒弟共多加工多少个?
@#@@#@(150-125)×@#@6=150(个)@#@ @#@@#@8、两个数相乘,如果一个因数增加10,另一个因数不变,那么积就增加80;@#@如果一个因数增加6,那么积就增加72。
@#@原来的积是多少?
@#@@#@其中一个因数:
@#@80÷@#@10=8@#@两外一个因数:
@#@72÷@#@6=12@#@积:
@#@8×@#@12=96@#@ @#@@#@9、小马虎做乘法计算时,把其中一个因数45看成了63,结果得到的积比正确的积多了2250。
@#@正确的积应该是多少?
@#@@#@其中一个因数:
@#@2250÷@#@(63-45)=125@#@另外一个因数:
@#@45@#@正确的积:
@#@125×@#@45=5625@#@ @#@@#@10、冰冰在计算两位数乘两位数时,把一个因数的十位数5错写成3,结果得432,实际应为672,这两个因数各是多少?
@#@@#@一个因数少算了:
@#@50-30=20@#@积少算了:
@#@672-432=240@#@另外一个因数:
@#@240÷@#@20=12@#@一个因数:
@#@672÷@#@12=56@#@ @#@@#@11、两个数相乘,如果一个因数增加4,另一个因数不变,那么积增加28;@#@如果一个因数不变,另一个因数减少6,那么积减少138。
@#@原来的积是多少?
@#@@#@其中一个因数:
@#@28÷@#@4=7@#@另外一个因数:
@#@138÷@#@6=23@#@原来的积:
@#@7×@#@23=161@#@ @#@@#@12、学校要为音乐教师增添25套设备,每套设备468元,每套设备的包养品需要12元,学校一共需要多少钱买回这些设备及其保养品?
@#@@#@25×@#@(468+12)=12000(元)@#@ @#@@#@13、学校要添置新桌椅,已知每张桌子158元,一把椅子53元。
@#@购买30套这样的桌椅,购买的桌子比椅子多花多少元?
@#@@#@(158-53)×@#@30=3150(元)@#@ @#@@#@14、长途客车每小时行63千米,从甲城到乙城用了15小时。
@#@甲乙两城相距多少千米?
@#@@#@63×@#@15=945(千米)@#@ @#@@#@15、已知一只蜗牛从上午7:
@#@30到10:
@#@30共爬行了1800厘米,那么它每小时能爬多少厘米?
@#@@#@10时30分-7时30分=3时@#@1800÷@#@3=600(厘米)@#@ @#@@#@16、某居民小区的水龙头一天要浪费43千克水,马桶一天要浪费960千克水。
@#@照这样计算,这个居民小区的水龙头和马桶一个月一共要浪费多少千克水?
@#@(按30天计算)@#@(960+43)×@#@30=30090(千克)@#@ @#@@#@17、买3台电扇一共花了621元,再买16台这样的电扇,还要花多少钱?
@#@@#@一台电风扇:
@#@621÷@#@3=207(元)@#@还要花:
@#@207×@#@16=3312(元)@#@ @#@@#@18、京沪铁路总里程为1318千米。
@#@一列高速列车以320千米/时的速度从上海虹桥站出发,行驶3小时后,列车距北京南站还有多远?
@#@@#@1318-320×@#@3=358(千米)@#@ @#@@#@19、两个数的积是被乘数的13倍,是乘数的192倍,这两个数的积是多少?
@#@@#@13×@#@192=2496@#@ @#@@#@20、小王家距离学校820米,他每天上学,晚上回家,请问小王每周(五天)要来回途中步行多少米?
@#@@#@820×@#@2×@#@5=8200(米)@#@ @#@@#@21、同学们去秋游,每辆车可乘55人,前9辆车全部乘满,第10辆还剩下15个空位,一共有多少人去秋游?
@#@@#@55×@#@9+(55-15)=535(人)@#@22、两列火车同时从A、B两地相对开出,甲火车每小时行84千米,乙火车每小时行106千米,经过6小时两列火车在途中相遇,A、B两地相距多少千米?
@#@@#@(84+106)×@#@6=1140(千米)@#@ @#@@#@23、一列客车和一列货车同时从两个车站相对开出,货车每小时行35千米,客车每小时行45千米,2小时相遇,两个车站相距多少千米?
@#@@#@(35+45)×@#@2=160(千米)@#@ @#@@#@24、两列火车同时从相距650千米的两地相向而行,甲火车每小时行50千米,乙火车每小时行52千米,4小时后还差多少千米才能相遇?
@#@@#@4小时行了:
@#@(50+52)×@#@4=408(千米)@#@还剩下:
@#@650-408=242(千米)@#@ @#@@#@25、两只轮船同时从上海和武汉相对开出。
@#@从武汉开出的船每小时行26千米,从上海开出的船每小时行17千米,经过25小时两船相遇。
@#@请问,上海到武汉的航路长多少千米?
@#@@#@(26+17)×@#@25=1075(千米)@#@ @#@@#@26、幸福农场把今年收获的橘子装箱运往外地,每箱装35千克,装了108箱后还剩下27千克.今年共收橘子多少千克?
@#@@#@35×@#@108+27=3807(千克)@#@ @#@@#@27、李叔叔买了一台洗衣机和一台彩电,洗衣机的价格是590元,彩电的价格比洗衣机的4倍多60元,李叔叔一共用了多少元?
@#@@#@彩电:
@#@590×@#@4+60=2420(元)@#@一共:
@#@590+2420=3010(元)@#@ @#@@#@28、小东家住在43层,距离地面125米,他每天要乘电梯上下两个来回,请问他一个星期(7天)乘电梯上下多少米?
@#@@#@125×@#@2×@#@7=1750(米)@#@ @#@@#@29、四年级学生步行从学校到博物馆看文献展览,已经排队走了17分钟,平均每分钟走42米,照这样计算的话,还要走13分钟才能到达,从学校到博物馆一共有多少米?
@#@@#@(17+13)×@#@42=1260(米)@#@ @#@@#@30、甲乙二人同时从两个县城相对而行,甲每小时行6千米,乙每小时行5千米,3小时后相遇,两个县城相距多远?
@#@@#@(6+5)×@#@3=33(千米)@#@ @#@@#@31、一辆小轿车的速度为105千米/时,从甲地到乙地的路程是1255千米。
@#@它按这个速度行驶,11小时能从甲地到乙地吗?
@#@@#@11×@#@105=1155(千米)@#@ @#@@#@32、一筐桔子重47千克,每千克橘子能卖4元钱,15框这样的橘子能卖多少钱?
@#@@#@47×@#@4×@#@15=2820(元)@#@ @#@@#@33、春天小区有45栋房子,已知每栋房子有4个单元,每个单元有12户,请问:
@#@该小区一共有多少户?
@#@@#@45×@#@4×@#@12=2160(个)@#@ @#@@#@34、图书馆要采购2200册图画书,1350册文学书和58册杂志,图画书的单价是10元,文学书的单价是15元,杂志的单价是6元,请问三种书全部买齐得多少钱?
@#@@#@2200×@#@10+1350×@#@15+58×@#@6=42598(元)@#@ @#@@#@35、某社区图书馆今年要采购3000册图书,平均每本图书约25元,该社区今年的图书采购预算约需多少钱?
@#@@#@3000×@#@25=75000(元)@#@ @#@@#@36、光明小区有35栋房子,已知每栋房子有5个单元,每个单元有12户,请问:
@#@该小区共有多少户?
@#@@#@35×@#@5×@#@12=2160(户)@#@ @#@@#@37、老王家养了24只鸡,鸽子的数量是鸡的数量的3倍少3只,老王家一共养了多少只鸽子?
@#@@#@24×@#@3-3=69(只)@#@ @#@@#@38、一辆汽车从乙地开往丙地,每小时行35千米,行了3小时,离丙地还有86千米,乙丙两地相距多少干米?
@#@@#@35×@#@3+86=191(千米)@#@ @#@@#@39、某公司要采购120台电脑、120张桌子和18部固定电话,电脑每台3000元,桌子每张1500元,固定电话每部560元,这三种办公设施全部买齐得多少钱?
@#@@#@120×@#@3000+1500×@#@120+560×@#@18=550080(元)@#@ @#@@#@40、某市一个十字路口1小时内平均通过的车辆数有:
@#@公共汽车65辆,小汽车240辆,出租车250辆。
@#@请问:
@#@2小时内平均约有多少辆车从这个路口通过?
@#@@#@(65+240+250)×@#@2=1110(元)@#@ @#@@#@41、一件上衣的价格是48元,一条短袜比上衣的价格便宜10元钱,一双球鞋的价格又是短袜的2倍,请问球鞋的价格是多少?
@#@@#@短袜:
@#@48-10=38(元)@#@球鞋:
@#@38×@#@2=76(元)@#@ @#@@#@42、列从北京到上海的火车,到了天津站以后下了20人,上车的人数是下车人数的2倍。
@#@后来列车又下了30人。
@#@列车到达上海站以后,刚好还有800人。
@#@问:
@#@列车从北京出发时车上有多少人?
@#@@#@()减少20人()增加40人()减少30人(800)@#@然后反推:
@#@800+30=830(人)830-40=790(人)790+20=810(人)@#@ @#@@#@43、某学校的9名师生去云南旅游,6名学生每个人的花费如下:
@#@机票费1100元,火车票138元,吃饭和住宿费650元,门票120元。
@#@另外还有3位老师每人花了2200元。
@#@问:
@#@他们这次旅游一共花了多少钱?
@#@@#@学生花的费用:
@#@(1100+138+650+120)×@#@6=12048(元)@#@老师花的费用:
@#@2200×@#@3=6600(元)@#@一共的费用:
@#@6600+6600=18648(元)@#@ @#@@#@44、A、B两个茶场都种植了18公顷茶树。
@#@A茶场平均每公顷产560千克茶叶,B茶场平均每公顷产390千克茶叶。
@#@两个茶场一共可以产多少千克茶叶?
@#@@#@(560+390)×@#@18=17100(千克)@#@ @#@@#@45、汽车尾气排放是“雾霾天气”形成的原因之一,一辆汽车平均每天排放的有害废气约12千克,照这样计算,这辆汽车一年(按365天计算)会排出多少千克有害废气?
@#@@#@12×@#@365=4380(千克)@#@ @#@@#@46、一辆货车在平原上的速度是60千米/时,在山区的速度为25千米/时。
@#@已知这辆货车在平原和山区各行了3小时,最后到达山顶。
@#@这段路有多长?
@#@@#@(60+25)×@#@3=255(千米)@#@ @#@@#@47、一辆汽车往返于甲乙两地之间,去时的速度为56千米/时,行驶了5小时,按原路返回时只用了4小时。
@#@这辆汽车返回时的速度是多少?
@#@@#@56×@#@5÷@#@4=70(千米/小时)@#@ @#@@#@48、小军从家到学校要走280步,平均每步长65厘米。
@#@返回时平均每步长7分米,他250步能到家吗?
@#@@#@一共的距离:
@#@280×@#@65=18200(厘米)@#@350步走的距离:
@#@250×@#@7×@#@10=17500(厘米)@#@17500<@#@18200@#@不能到家@#@ @#@@#@49、春风小学四年级师生共198人,准备包车去看潮。
@#@旅行车包车的价格是39元/人,老师带8000元钱包车,够吗?
@#@@#@198×@#@39=7722(元)7722<@#@8000够了@#@50、阿里巴巴为了迷惑强盗,打算在小镇上的每户人家的一扇门上都画2个记号。
@#@小镇有3条巷子,每条巷子有50户人家。
@#@阿里巴巴在每一户的门上做完1个记号要2分钟。
@#@他要用多少时间才能完成这项费力的工作?
@#@@#@ @#@3×@#@50×@#@2×@#@2=600(分钟)@#@ @#@@#@ @#@@#@ @#@51、超市进了60按大米,30袋面粉和10袋绿豆,每袋大米、面粉和绿豆的重量都是10千克。
@#@请问:
@#@这批粮食有多重?
@#@ @#@@#@(60+30+10)×@#@10=1000(千克)@#@ @#@@#@52、文具店中2支自动铅笔的售价是7元,3支钢笔的售价价是14元。
@#@张老师准备为同学们购买10支铅笔和21支钢笔,一共需要花多少钱?
@#@@#@ @#@10÷@#@2×@#@7=35(元)@#@21÷@#@3×@#@14=98(元)@#@35+98=133(元)@#@ @#@@#@ @#@@#@ @#@@#@53、一辆货车在高速公路上的速度是85千米|时,在国道上的速度是60千米|时。
@#@这辆车在高速公路和国道上各行了12小时,最后到达目的地。
@#@这段路有多长?
@#@@#@ @#@(85+60)×@#@12=1740(千米)@#@ @#@@#@ @#@@#@ @#@@#@54、红旗小学有155人去秋游,大客车可以坐40人,每辆车租金1000元,小客车可以坐25人,每辆车租金650元。
@#@请写出一种最便宜的租车方案。
@#@@#@ @#@大客车的单价:
@#@1000÷@#@40=25(元)@#@小客车的单价:
@#@650÷@#@25=26(元)大客车便宜,应该多用大客车@#@155=40×@#@3+35@#@方案1:
@#@用4辆大客车:
@#@4×@#@1000=4000(元)@#@方案2:
@#@用2辆大客车,3辆小客车:
@#@2×@#@1000+3×@#@650=3950(元)@#@ @#@所以最便宜的租车方案:
@#@租2辆大客车,3辆小客车@#@ @#@@#@ @#@@#@55、小西一家四口去海南旅游,其中包括两个大人和两个孩子,已知成人机票费单程980元,儿童机票单程520元,全家吃饭和住宿费1650元,景区门票成人150元,儿童80元。
@#@另外还有买些纪念品花了1260元。
@#@请问:
@#@他们这次旅游一共花了多少钱?
@#@@#@ @#@机票费用:
@#@980×@#@2×@#@2+520×@#@2×@#@2=6000(元)@#@景区费用:
@#@(150+80)×@#@2=460(元)@#@一共:
@#@6000+1650+460+1260=9370(元)@#@ @#@@#@ @#@@#@ @#@@#@56、一筐苹果连筐重42干克,卖了一半后连第重22干克,这筐苹果重多少干克?
@#@筐重多少千克?
@#@@#@ @#@一半的苹果:
@#@42-22=20(千克)@#@苹果共重:
@#@20×@#@2=40(千克)@#@筐重:
@#@42-40=2(千克)@#@ @#@@#@ @#@@#@57、高飞从学校图书馆借了一本《岳飞传》,管理员告诉他只能借一个月。
@#@他发现书的总页数有336页,他计划每天看10页。
@#@请问:
@#@照他计划的阅读速度,30天以后书还剩下多少页?
@#@请帮他重新计划一下,他要在30天内看完,一天至少要看多少页?
@#@ @#@@#@ @#@
(1)336-10×@#@30=36(页)@#@
(2)336÷@#@30≈12(页)@#@ @#@@#@ @#@@#@58、邦邦和爸爸妈妈国庆节要去黄山旅游,已知成人单程车票是140元,儿童单程票比成人单程票少50元。
@#@景区里还需要买门票,成人票是100元,儿童票是60元。
@#@他们计划住包三餐伙食的宾馆,按人头算一人一天要80元。
@#@请你帮邦邦算一算,他们这次旅行的预算至少是多少?
@#@ @#@@#@车票:
@#@140×@#@2×@#@2+(140-50)×@#@2=740(元)@#@门票:
@#@100×@#@2+60=260(元)@#@伙食费:
@#@80×@#@3×@#@7=1680(元)@#@一共:
@#@740+260+1680=2680(元)@#@ @#@@#@ @#@@#@59、一辆汽车从乙地开往丙地,每小时行60千米,行驶了8小时之后,还需要6小时才能到达丙地,乙丙两地相距多少千米?
@#@@#@ @#@60×@#@(8+6)=840(千米)@#@ @#@@#@ @#@@#@ @#@60、物流公司的一辆货车给学校运来了50包书和60包作业本,每包书有48本,每包作业本有60本。
@#@请问:
@#@书和作业本一共有多少本?
@#@@#@ @#@50×@#@48+60×@#@60=6000(本)@#@ @#@@#@ @#@@#@ @#@61、超市进了17袋大米、15袋面粉和8袋绿豆,每袋大米、面粉和绿豆的重量都是20千克。
@#@请问:
@#@这批粮食有多重?
@#@@#@ @#@(17+15+8)×@#@20=800(千克)@#@ @#@@#@ @#@@#@ @#@@#@62、水果店运来苹果、香蕉各8箱。
@#@苹果每箱25千克,香蕉每箱18千克。
@#@一共运来水果多少千克?
@#@@#@ @#@(25+18)×@#@8=344(千克)@#@ @#@@#@ @#@@#@63、火车每小时行96千米,张叔叔乘火车从北京到上海用了13时。
@#@请问:
@#@@#@
(1)北京到.上海的铁路长多少千米?
@#@@#@
(2)张叔叔2月29日下午8点12分乘火车从北京准时出发,他什么时间到达上海?
@#@@#@
(1)96×@#@13= @#@1248(千米)@#@ @#@
(2)2月29日下午8点12分+13时=3月1日上午9点12分@#@ @#@@#@ @#@@#@ @#@@#@64、一桶花生油108元,现在超市要做活动,每买8桶就送1桶。
@#@如果公司要够买63桶这样的花生油作为福利发给员工,一共要花多少元?
@#@@#@一组:
@#@8+1=9(桶)@#@63÷@#@9=7(组)@#@7x8×@#@108=6048(元)@#@ @#@@#@65、某保健药店销售益智口服液,每瓶25元,买4瓶送1瓶。
@#@那么一次买5瓶(考虑送的),需要多少钱?
@#@这样比单买一瓶每瓶便宜多少钱?
@#@@#@买5瓶一共花的钱:
@#@25x4=100(元)@#@实际的单价:
@#@100÷@#@5=20(元)@#@每瓶便宜:
@#@25-20=5(元)@#@ @#@@#@66、一座大桥长2400米。
@#@一列火车通过大桥时每分钟行驶940米,从车头上桥到车尾离桥共需3分钟,这列火车长多少米?
@#@@#@3x940=2820(米)@#@2820-2400=420(米)@#@ @#@@#@67、一列火车长500米,以每分钟2千米的速度行驶,经过一座长为1500米的铁路桥。
@#@火车从车头上桥到车尾离开桥共需多长时间?
@#@@#@(1500+500)÷@#@2000=1(分钟)@#@ @#@@#@68、汽车上山的速度为36千米/时,5小时能从山脚到达山顶,下山时按原路返回只用了4小时。
@#@从山脚到山顶有多远?
@#@汽车下山的速度是多少?
@#@@#@36×@#@5=180(千米)@#@180÷@#@4=45(千米/小时)@#@ @#@@#@69、一艘轮船从甲港开往乙港,前7个小时航行了175千米。
@#@照这样的速度,再航行32小时才到达乙港,两港相距多少千米?
@#@@#@速度:
@#@175÷@#@7=25(千米/小时)@#@总路程:
@#@25x(32+7)=975(千米)@#@ @#@@#@70、商场运来58个篮球,每个卖125元。
@#@照这样计算,再运进42个篮球,一共可卖多少元?
@#@@#@(58+42)x125=12500(元)@#@ @#@@#@71、一辆车从甲地到乙地,去时速度为57千米/时,共用4小时。
@#@返回时比去时少用1小时,这辆汽车返回时的速度是多少?
@#@@#@57x4÷@#@(4-1)=76(千米/小时)@#@ @#@@#@72、每棵树苗原价16元,现在买3棵送1棵,用原来买3棵树苗的钱买了4棵树苗,每棵便宜多少钱?
@#@@#@一共付的钱:
@#@3x16=48(元)@#@实际的单价:
@#@48÷@#@4=12(元)@#@ @#@@#@73、苏华电器江汉路店开张啦!
@#@今天售出普金微波炉45台。
@#@@#@大优惠!
@#@@#@原价:
@#@每台400元@#@现价:
@#@每台265元@#@
(1)这一天苏华电器销售的普金微波炉一共卖了多少元?
@#@@#@
(2)如果不优惠,按原价销售,这一天可以多卖多少元?
@#@@#@
(1)265x45=11925(元)@#@
(2)400x45=18000(元)@#@ @#@@#@74、中华小学课间休息时,教室里有学生211人,操场上的学生人数是教室里的3倍,走廊上还有150名学生,另外有11人请假,请间中华小学今天有多少名学生到校?
@#@@#@ @#@操场:
@#@211x3=633(人)@#@一共:
@#@211+633+150+11=1005(人)@#@ @#@@#@75、植物园里有梅树430棵,香樟树是梅树的2倍少10棵,请问梅树和香樟树一共有多少棵?
@#@@#@香樟树:
@#@430x2-10=850(棵)@#@一共:
@#@850+430=1280(棵) @#@@#@ @#@@#@76、大米场有一批大米要运出,一辆货车能运850千克,运了3车,还剩100千克。
@#@这批大米有多少千克?
@#@@#@850x3+100=2650(千克)@#@ @#@@#@76、一段路长323米,已经修了123米,剩下的计划4天修完,平均每天要修多少米?
@#@@#@(323-123)÷@#@4=50(米)@#@ @#@@#@77.贝贝带了100元钱,买了2本词典,每本词典32元。
@#@贝贝还剩下多少钱?
@#@@#@100-2x32=36(元)@#@ @#@@#@78.竹子在春夏季节生长十分迅速,平均1小时可长高2厘米。
@#@请问:
@#@竹子在4、5两个月里可长高多少?
@#@@#@24x2x(30+31)=2928(厘米)@#@79.两个年级的同学去买书,三年级有48人,每人买2本,四年级有50人,每人比三年级多买1本。
@#@三、四年级一共买了了多少书?
@#@@#@48x2=96(人)@#@50x(2+1)=150(人)@#@96+150=246(人)@#@80.装甲制厂装订批书,前3天每天装订了400本,后16天平均每天装订250本,刚好完成。
@#@这批书共有多少本?
@#@@#@400x3+16x250=5200(本)@#@";i:
32;s:
3:
"@#@";}
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 26 字母 手写体 打印