欢迎来到冰点文库! | 帮助中心 分享价值,成长自我!
冰点文库
全部分类
  • 临时分类>
  • IT计算机>
  • 经管营销>
  • 医药卫生>
  • 自然科学>
  • 农林牧渔>
  • 人文社科>
  • 工程科技>
  • PPT模板>
  • 求职职场>
  • 解决方案>
  • 总结汇报>
  • ImageVerifierCode 换一换
    首页 冰点文库 > 资源分类 > DOCX文档下载
    分享到微信 分享到微博 分享到QQ空间

    DDR3的TESTBENCH verilog测试代码.docx

    • 资源ID:548139       资源大小:17.50KB        全文页数:19页
    • 资源格式: DOCX        下载积分:3金币
    快捷下载 游客一键下载
    账号登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录
    二维码
    微信扫一扫登录
    下载资源需要3金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP,免费下载
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    DDR3的TESTBENCH verilog测试代码.docx

    1、DDR3的TESTBENCH verilog测试代码/* File Name: tb.v*timescale 1ps / 1psmodule tb;include ddr3_parameters.vh / ports reg rst_n; reg ck; wire ck_n = ck; reg cke; reg cs_n; reg ras_n; reg cas_n; reg we_n; reg BA_BITS-1:0 ba; reg ADDR_BITS-1:0 a; wire DM_BITS-1:0 dm; wire DQ_BITS-1:0 dq; wire DQS_BITS-1:0 dqs;

    2、 wire DQS_BITS-1:0 dqs_n; wire DQS_BITS-1:0 tdqs_n; wire odt; / mode registers reg ADDR_BITS-1:0 mode_reg0; /Mode Register reg ADDR_BITS-1:0 mode_reg1; /Extended Mode Register reg ADDR_BITS-1:0 mode_reg2; /Extended Mode Register 2 wire 3:0 cl = mode_reg02, mode_reg06:4 + 4; /CAS Latency wire bo = mo

    3、de_reg03; /Burst Order reg 3:0 bl; /Burst Length wire 3:0 cwl = mode_reg25:3 + 5; /CAS Write Latency wire 3:0 al = (mode_reg14:3 = 2b00) ? 4h0 : cl - mode_reg14:3; /Additive Latency wire 4:0 rl = cl + al; /Read Latency wire 4:0 wl = cwl + al; /Write Latency / dq transmit reg dq_en; reg DM_BITS-1:0 d

    4、m_out; reg DQ_BITS-1:0 dq_out; reg dqs_en; reg DQS_BITS-1:0 dqs_out; assign dm = dq_en ? dm_out : DM_BITS1bz; assign dq = dq_en ? dq_out : DQ_BITS1bz; assign dqs = dqs_en ? dqs_out : DQS_BITS1bz; assign dqs_n = dqs_en ? dqs_out : DQS_BITS1bz; / dq receive reg DM_BITS-1:0 dm_fifo 4*CL_MAX+BL_MAX+2:0;

    5、 reg DQ_BITS-1:0 dq_fifo 4*CL_MAX+BL_MAX+2:0; wire DQ_BITS-1:0 q0, q1, q2, q3; reg ptr_rst_n; reg 1:0 burst_cntr; / odt reg odt_out; reg (AL_MAX+CL_MAX):0 odt_fifo; assign odt = odt_out & !odt_fifo0; / timing definition in tCK units real tck; wire 11:0 tccd = TCCD; wire 11:0 tcke = max(ceil(TCKE/tck

    6、), TCKE_TCK); wire 11:0 tckesr = TCKESR_TCK; wire 11:0 tcksre = max(ceil(TCKSRE/tck), TCKSRE_TCK); wire 11:0 tcksrx = max(ceil(TCKSRX/tck), TCKSRX_TCK); wire 11:0 tcl_min = min_cl(tck); wire 6:2 mr_cl = (tcl_min - 4)2 | (tcl_min/12); wire 11:0 tcpded = TCPDED; wire 11:0 tcwl_min = min_cwl(tck); wire

    7、 5:3 mr_cwl = tcwl_min - 5; wire 11:0 tdllk = TDLLK; wire 11:0 tfaw = ceil(TFAW/tck); wire 11:0 tmod = max(ceil(TMOD/tck), TMOD_TCK); wire 11:0 tmrd = TMRD; wire 11:0 tras = ceil(TRAS_MIN/tck); wire 11:0 trc = ceil(TRC/tck); wire 11:0 trcd = ceil(TRCD/tck); wire 11:0 trfc = ceil(TRFC_MIN/tck); wire

    8、11:0 trp = ceil(TRP/tck); wire 11:0 trrd = max(ceil(TRRD/tck), TRRD_TCK); wire 11:0 trtp = max(ceil(TRTP/tck), TRTP_TCK); wire 11:0 twr = ceil(TWR/tck); wire 11:0 twtr = max(ceil(TWTR/tck), TWTR_TCK); wire 11:0 txp = max(ceil(TXP/tck), TXP_TCK); wire 11:0 txpdll = max(ceil(TXPDLL/tck), TXPDLL_TCK);

    9、wire 11:0 txpr = max(ceil(TXPR/tck), TXPR_TCK); wire 11:0 txs = max(ceil(TXS/tck), TXS_TCK); wire 11:0 txsdll = TXSDLL; wire 11:0 tzqcs = TZQCS; wire 11:0 tzqoper = TZQOPER; wire 11:0 wr = (twr 8) ? twr : twr + twr%2; wire 11:9 mr_wr = (twr 1;ifdef TRUEBL4 wire 11:0 tccd_dg = TCCD_DG; wire 11:0 trrd

    10、_dg = max(ceil(TRRD_DG/tck), TRRD_DG_TCK); wire 11:0 twtr_dg = max(ceil(TWTR_DG/tck), TWTR_DG_TCK);endif initial begin $timeformat (-9, 1, ns, 1);ifdef period tck = period; else tck = ceil(TCK_MIN);endif ck = 1b1; odt_fifo = 0; end / component instantiation ddr3 sdramddr3 ( rst_n, ck, ck_n, cke, cs_

    11、n, ras_n, cas_n, we_n, dm, ba, a, dq, dqs, dqs_n, tdqs_n, odt ); / clock generator always (posedge ck) begin ck = #(tck/2) 1b0; ck $rtoi(number) ceil = $rtoi(number) + 1; else ceil = number; endfunction function integer max; input arg1; input arg2; integer arg1; integer arg2; if (arg1 arg2) max = ar

    12、g1; else max = arg2; endfunction task power_up; begin rst_n = 1b0; cke = 1b0; cs_n = 1b1; odt_out = 1b0; # (10000); / CKE must be LOW 10ns prior to RST# transitioning HIGH. (negedge ck) rst_n = 1b1; # (10000) / After RST# transitions HIGH, wait 500us (minus one clock) with CKE LOW. (wait 10 ns inste

    13、ad of 500 us) (negedge ck) nop(TXPR/tck + 1); / After CKE is registered HIGH and after tXPR has been satisfied, MRS commands may be issued. end endtask task load_mode; input BA_BITS-1:0 bank; input ADDR_BITS-1:0 addr; begin case (bank) 0: mode_reg0 = addr; 1: mode_reg1 = addr; 2: mode_reg2 = addr; e

    14、ndcase cke = 1b1; cs_n = 1b0; ras_n = 1b0; cas_n = 1b0; we_n = 1b0; ba = bank; a = addr; (negedge ck); end endtask task refresh; begin cke = 1b1; cs_n = 1b0; ras_n = 1b0; cas_n = 1b0; we_n = 1b1; (negedge ck); end endtask task precharge; input BA_BITS-1:0 bank; input ap; /precharge all begin cke = 1

    15、b1; cs_n = 1b0; ras_n = 1b0; cas_n = 1b1; we_n = 1b0; ba = bank; a = (ap10); (negedge ck); end endtask task activate; input BA_BITS-1:0 bank; input ROW_BITS-1:0 row; begin cke = 1b1; cs_n = 1b0; ras_n = 1b0; cas_n = 1b1; we_n = 1b1; ba = bank; a = row; (negedge ck); end endtask /write task supports

    16、burst lengths = 8 task write; input BA_BITS-1:0 bank; input COL_BITS-1:0 col; input ap; /Auto Precharge input bc; /Burst Chop input 8*DM_BITS-1:0 dm; input 8*DQ_BITS-1:0 dq; reg ADDR_BITS-1:0 atemp 2:0; integer i; begin cke = 1b1; cs_n = 1b0; ras_n = 1b1; cas_n = 1b0; we_n = 1b0; ba 10) & 1h1)11)13;

    17、 /a N:13 = COL N:11 a = atemp0 | atemp1 | atemp2 | (ap10) | (bc12); casex (bc, mode_reg01:0) 3bx00, 3b101:bl=8; 3bx1x, 3b001:bl=4; endcase dqs_en = #(wl*tck-tck/2) 1b1; dqs_out = #(wl*tck-tck/2) DQS_BITS1b1; for (i=0; i=bl; i=i+1) begin dqs_en = #(wl*tck + i*tck/2) 1b1; if (i%2 = 0) begin dqs_out =

    18、#(wl*tck + i*tck/2) DQS_BITS1b0; end else begin dqs_out = #(wl*tck + i*tck/2) DQS_BITS1b1; end dq_en = #(wl*tck + i*tck/2 + tck/4) 1b1; dm_out i*DM_BITS; dq_out i*DQ_BITS; end dqs_en = #(wl*tck + bl*tck/2 + tck/2) 1b0; dq_en = #(wl*tck + bl*tck/2 + tck/4) 1b0; (negedge ck); end endtask / read withou

    19、t data verification task read; input BA_BITS-1:0 bank; input COL_BITS-1:0 col; input ap; /Auto Precharge input bc; /Burst Chop reg ADDR_BITS-1:0 atemp 2:0; integer i; begin cke = 1b1; cs_n = 1b0; ras_n = 1b1; cas_n = 1b0; we_n = 1b1; ba 10) & 1h1)11)13; /a N:13 = COL N:11 a = atemp0 | atemp1 | atemp

    20、2 | (ap10) | (bc12); casex (bc, mode_reg01:0) 3bx00, 3b101:bl=8; 3bx1x, 3b001:bl=4; endcase for (i=0; i(bl/2 + 2); i=i+1) begin odt_fiforl-wl + i = 1b1; end (negedge ck); end endtask task zq_calibration; input long; begin cke = 1b1; cs_n = 1b0; ras_n = 1b1; cas_n = 1b1; we_n = 1b0; ba = 0; a = long1

    21、0; (negedge ck); end endtask task nop; input 31:0 count; begin cke = 1b1; cs_n = 1b0; ras_n = 1b1; cas_n = 1b1; we_n = 1b1; repeat(count) (negedge ck); end endtask task deselect; input 31:0 count; begin cke = 1b1; cs_n = 1b1; ras_n = 1b1; cas_n = 1b1; we_n = 1b1; repeat(count) (negedge ck); end endt

    22、ask task power_down; input 31:0 count; begin cke = 1b0; cs_n = 1b1; ras_n = 1b1; cas_n = 1b1; we_n = 1b1; repeat(count) (negedge ck); end endtask task self_refresh; input 31:0 count; begin cke = 1b0; cs_n = 1b0; ras_n = 1b0; cas_n = 1b0; we_n = 1b1; cs_n = #(tck) 1b1; ras_n = #(tck) 1b1; cas_n = #(t

    23、ck) 1b1; we_n = #(tck) 1b1; repeat(count) (negedge ck); end endtask task pd_change_period; input 31:0 new_period; begin $display (%m at time %t: INFO: Changing Clock Period to %08.3f ps, $time, new_period); power_down (tcksre+1); tck = new_period; (posedge ck); (negedge ck); repeat(tcksrx) (negedge

    24、ck); end endtask task sr_change_period; input 31:0 new_period; begin $display (%m at time %t: INFO: Changing Clock Period to %08.3f ps, $time, new_period); self_refresh (tcksre+1); tck = new_period; (posedge ck); (negedge ck); repeat(tcksrx) (negedge ck); end endtask / read with data verification ta

    25、sk read_verify; input BA_BITS-1:0 bank; input COL_BITS-1:0 col; input ap; /Auto Precharge input bc; /Burst Chop input 8*DM_BITS-1:0 dm; /Expected Data Mask input 8*DQ_BITS-1:0 dq; /Expected Data integer i, j; begin read (bank, col, ap, bc); for (i=0; i(i*DM_BITS); dq_fifo2*rl + i = dq(i*DQ_BITS); en

    26、d end endtask / receiver(s) for data_verify process dqrx dqrxDQS_BITS-1:0 (ptr_rst_n, dqs, dq, q0, q1, q2, q3); / perform data verification as a result of read_verify task call always (ck) begin:data_verify integer i; integer j; reg DQ_BITS-1:0 bit_mask; reg DM_BITS-1:0 dm_temp; reg DQ_BITS-1:0 dq_temp; for (i = !ck; (i 2/(2.0 - !ck); i=i+1) begin if (dm_fifoi = DM_BITS1bx) begin burst_cntr = 0; end else begin dm_temp = dm_fifoi; for (j=0; jDQ_BITS; j=j+1) begin bit_maskj = !dm_te


    注意事项

    本文(DDR3的TESTBENCH verilog测试代码.docx)为本站会员主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

    copyright@ 2008-2023 冰点文库 网站版权所有

    经营许可证编号:鄂ICP备19020893号-2


    收起
    展开