欢迎来到冰点文库! | 帮助中心 分享价值,成长自我!
冰点文库
全部分类
  • 临时分类>
  • IT计算机>
  • 经管营销>
  • 医药卫生>
  • 自然科学>
  • 农林牧渔>
  • 人文社科>
  • 工程科技>
  • PPT模板>
  • 求职职场>
  • 解决方案>
  • 总结汇报>
  • ImageVerifierCode 换一换
    首页 冰点文库 > 资源分类 > DOCX文档下载
    分享到微信 分享到微博 分享到QQ空间

    基于某FPGA的音频处理系统毕业设计论文设计.docx

    • 资源ID:990692       资源大小:1.58MB        全文页数:43页
    • 资源格式: DOCX        下载积分:1金币
    快捷下载 游客一键下载
    账号登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录
    二维码
    微信扫一扫登录
    下载资源需要1金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP,免费下载
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    基于某FPGA的音频处理系统毕业设计论文设计.docx

    1、基于某FPGA的音频处理系统毕业设计论文设计 毕业设计(论文)题目 基于FPGA的音频处理系统 学生 学号 专 业 班级 指导教师 评阅教师 完成日期 2014 年 5月20日学位论文原创性声明本人重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。本人完全意识到本声明的法律后果由本人承担。作者签名: 年 月 日学位论文使用授权书本学位论文作者完全了解学校有关保障、使用学位论文的规定,同意学校保留并向有关学位论文管理部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权省级优秀

    2、学士学位论文评选机构将本学位论文的全部或部分容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。本学位论文属于1、 ,在_年解密后适用本授权书。2、不 。(请在以上相应方框打“”)作者签名: 年 月 日 导师签名: 年 月 日 摘要 11绪论 31.1 课题研究背景 31.1.1 课题来源 31.1.2 研究目的及意义 31.2 国外的研究现状及发展趋势 31.2.1 FPGA的发展历程 31.2.2 音频处理技术的发展 41.2.3 SOPC的特点及其应用 51.3 课题研究的容 72 器件介绍 82.1 WM8731 82.1.1 WM8731概述 82.1.

    3、2 WM8731控制接口 82.1.3 数字音频接口 92.2 FPGA芯片介绍 123 硬件电路 133.1 硬件开发环境 133.2 硬件电路设计 133.2.1 SOPC系统设计 133.2.2 WM8731的外围电路 133.2.3 nios_audio模块 143.3 顶层例化 154 SOPC系统软件程序设计 164.1 软件开发环境 164.2 人机接口介绍 174.3 各功能模块实现方案 174.2.1 I2C总线 174.2.2 WM8731驱动模块设计 184.2.2音频处理软件开发流程 204.3 结果展示 214.4 本章小结 225 总结与展望 23致谢 24参 考

    4、文 献 25附录 26基于FPGA的音频处理系统设计学 生: 吴佳乐指导教师: 唐廷龙(三峡大学 计算机与信息学院)摘要:随着数字记录技术和大规模集成 电路技术的迅速发展,消费类电子产品正以日新月异的新姿展现在当代人的面前,音响类 娱乐产品的多样化、小型化与数字化及品种的琳琅满目丰富了音响产品市场,满足了多层次消费者的不同需要。在这些科技产品的快速发展过程中,数字音频技术在其中扮演着重要的角色。数字语音集成电路与嵌入式微处理器相结合,既实现了系统的小型化、低功耗,又降低了产品开发成本,提高了设计的灵活性,具有体积小、扩展方便等诸多特点,具有广泛的发展前景。本设计基于Nios II的SOPC技术

    5、,利用Verilog 硬件描述语言开发基于FPGA的音频编解码芯片控制器,以实现对音频编/解码芯片WM8731的控制。同时本文提出了一种基于FPGA器件的音频信号处理的实现方案,探讨声音信号的收集、处理及应用,工作的重点是在噪声环境中如何能有效地地把需要的语音信号提取出来开,消除或者衰减噪声,这涉及到滤波器的设计,通过数字滤波来处理噪声信号。关键词:WM8731, FPGA, I2C总线, 音频处理Abstract: With the rapid development of digital recording technology and LSI technology, consumer e

    6、lectronics products are in the ever-changing contemporary new look show in front of people, diverse sound like entertainment products, miniaturization and digitization and dazzling varieties enriches the audio products market, to meet the different needs of multi-level consumers. In the rapid develo

    7、pment of these technology products, and digital audio technology in which play an important role. Digital Voice IC with embedded microprocessor combination of both to achieve the miniaturization, low-power system, but also reduce product development costs and improve design flexibility, small size,

    8、easy to expand, and many other features, with extensive prospects for development.The design is based on the Nios II SOPC technology, using Verilog hardware description language developed FPGA-based audio codec chip controller, in order to achieve an audio encoder / decoder chip WM8731 control. This

    9、 paper presents a realization of the program while the audio signal processing based on FPGA devices, explore the collection, processing and application, focusing on the work of the sound signal in a noisy environment is how to effectively put the desired speech signal extracted open, eliminating or

    10、 noise attenuation, which involves the design of the filter, filtering processing by a digital noise signal.Keywords: WM8731, FPGA, I2C Bus, Audio controller1绪论1.1 课题研究背景1.1.1 课题来源 随着数字记录技术和大规模集成 电路技术的迅速发展,消费类电子产品正以日新月异的新姿展现在当代人的面前,音响类 娱乐产品的多样化、小型化与数字化及品种的琳琅满目丰富了音响产品市场,满足了多层次消费者的不同需要。在这些科技产品的快速发展过程中

    11、,数字音频技术在其中扮演着重要的角色。现在音频处理技术的任务越来越复杂,对信号处理的效果要求不断提高,音频处理技术的算法也越来越复杂,要求在几十ms甚至几ms的时间完成音频信号大量的数据采集、处理、存储、传输,这就对音频处理系统处理器的运算速度提出了更高的要求。1.1.2 研究目的及意义随着消费电子的快速发展,数字音频技术的应用显得越来越重要,对数字音频技术的研究符合市场与科技需求。数字音频处理技术涉及生活的方方面面,包括滤波器技术、数字信号处理、人工智能、模式识别、编码学、等多个学科的知识,是信息化技术类学科当中发展极为迅速的一个方向之一。音频信号处理技术包含的容非常多,主要有信号存储、语音

    12、合成、语音识别、音频压缩、语音理解、音频编码、语音识别、语音增强等多个分支,总而言之,音频信号处理技术包括音频信号的数字化处理、数字化实现、数字化变换、数字化存储、数字化传播、及音频的变换、语音的处理、语音的识别等自然科学多个领域的综合运用。传统的数字滤波器采用乘法和累加结构,需要进行多次的乘法和加法运算。由于乘法器庞大的结构,占用了系统芯片上的大部分面积,消耗了大部分功率,使得音频处理系统在体积和处理速度上存在着不足,所以传统的数字滤波器不能很好的满足家用和便携式音频处理器对体积小、功耗小信号处理速度高的要求。而近些年来使用围越来越广泛,技术越来越成熟的FPGA器件对于解决对于解决音频信号的

    13、高标准、高要求有着其独特的优势。基于FPGA器件的音频信号处理的实现方案,在于对声音信号的收集、处理及应用,工作的重点是在噪声环境中如何能有效地地把需要的语音信号提取出来开,消除或者衰减噪声,这涉及到滤波器的设计,通过数字滤波来处理噪声信号。1.2 国外的研究现状及发展趋势1.2.1 FPGA的发展历程FPGA是Field Programmable Gate Array的缩写,即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。F

    14、PGA是Ross Freema于1985年发明的,当时第一个FPGA采用2m工艺,包含64个逻辑模块和85000个晶体管,门数量不超过1000个,当时他所创造的 FPGA被认为是一项不切实际的技术,他的同事Bill Carter曾说:“这种理念需要很多晶体管,但那时晶体管是非常珍贵的东西。”所以人们认为Ross的想法过于脱离现实。但是Ross预计:根据摩尔定律(每18个月晶体管密度翻一翻),晶体管肯定会越来越便宜,因此它必将成为未来不可或缺的技术。在短短的几年时间,正如Ross所预言的,出现了数十亿美元的现场可编程门阵列(FPGA)市场。但可惜的是,他已经无法享受这一派欣欣向荣的景象,Ross

    15、 Freeman在1989年已经与世长辞了,但是它的发明却持续不断地促进电子行业的进步与发展。一般来说,FPGA部是由最小的物理逻辑单位LE、布线网络、输入输出模块与片外设组成的,而最小物理逻辑单元是指用户无法修改的、固定的最小单元,设计者只能将这些单元通过互联线将其连接起来,然后实现特定的功能。一个LE是由触发器、LUT与控制逻辑组成的,从而同样可以实现组合逻辑和时序逻辑。随着FPGA集成度的不断增加,其部的片外设也越来越多,可集成SRAM、Flash、AD、RTC等外设,真正用单芯片方案完成系统设计,所以我们所理解的FPGA最底层是一些实实在在的门电路构成的,然后由门电路构成最小的物理逻辑

    16、单元,然后再通过布线层将这些最小物理逻辑单元连接成用户需要的特定功能,我们所需要控制的仅仅是布线层之间的互连开关,这也是我们编程的对象,通过这些开关来改变功能。1.2.2 音频处理技术的发展随着消费电子的快速发展,数字音频技术的应用显得越来越重要,对数字音频技术的研究符合市场与科技需求。20世纪50年代开发成功的晶体管,代表着音频放大技术的巨大飞跃。但晶体管技术至少具有两个重大缺陷。尽管晶体管比烤得整个房间发热的电子管更具效率,但只是迅速取代了真空管,实际上基本未触动上游信号源。利用发热更少、效率更高的数字信号处理技术的设计工艺只是刚刚进入包括音频在的许多市场。第二个问题不太重要,即以几乎无法

    17、承受的价格批量生产晶体管,意味着要大幅放宽这些元件的误差标准,从而导致信号失真上升或者音质下降。当时由于使用的是质量相对较低的存储媒体(vinyl和磁带)、传输和接收技术(AM收音机和VHS电视)也不够完美,音质下降的问题显得还不是那么明显和突出,但是现在的情况已全然不同。早在20世纪60年代,集成电路的发展就为显著提高音质提供了一个物美价廉的手段,并有力地促进了电子产品的小型化。模拟放大器是绝对线性的,在模拟放大器中,电源总处于接通状态,耗用大量的电能,因此必须使用大量的散热器件。这样大手大脚地使用电源根本不适合目前电池供电的产品和外形纤巧的消费电子设备。由于所有的音源都是模拟式的,听得见的

    18、噪声背景限制了可重复的动态围。例如,Vinyl源产生劈哩啪啦的各种噪音;音质好一点的磁带源则有嘶嘶声,使系统动态围至多只有60分贝。随着时代的发展,新型音源出现了,几乎完全进入了数字时代。唱片和磁带开始消失,让位于光盘(CD)。市场希望在更小的器件上存储更多的音乐,这种需求催生出MP3等压缩技术,进而需要数字放大器电路来满足这些新型媒体。数字记录设备和输出生成非常精确的声音。16位数字录音可以精确到6000分之一,而相当于数字分辨率为12-13位的模拟录音精确度只有8,000分之一。早期的数字放大器与模拟音源配合得很好,在某种程度上与数字音源的配合也不错,但最多只能生成分辨率为1213.5位的

    19、音频。新型的多媒体产品需要全16位的音频重现,以支持市场所要求环绕声、回响和音乐厅效果等越来越多的空间特点。典型的D类数字放大器,有些具有模拟输入,有些具有数字输出,不能满足上述目标,因为尽管它们成本低和效率高,但缺乏生成精确音频所需的分辨率。而且,这些元件甚至不能满足 CD机、汽车立体声系统、DVD影碟机或MP3播放器等越来越普通的先进设备。利用CD发行数字音频,消除了音频信号格式方面的一个弱点。最终很轻便的手持式设备甚至都可以实现影院级音质。理论上,数字音频信号是没有噪声的,它被作为一系列的“0”和“1”加以处理,没有给可能引起微小失真的噪声留下任何空间。尽管现有的数字化处理技术较以前的技

    20、术已有所改善,但它们在音质、封装、性能、价格和核心技术方面还需要进行改进。首先是音质,为了生成精确的音频,除了在音源上进行改进之外,在音频信号传输过程中,对音频信号的优化、处理也是一个重要的研究对象。音频信号处理系统要求,音频信号在通过模/数转换及数/模转换后,要尽可能接近输入的模拟信号,做到尽量可能少的失真,还要把噪声尽可能地过滤到,达到完美的语音音质。数字化信号处理技术能较好地完成此类任务,能很好地完成音频信号合成、编辑、效果处理、存储、传输等工作,但是数字化音频处理技术,也并不是要全部釆用数字信号而忽略模拟信号的处理,而是数字信号处理和模拟信号处理相结合,做到扬长避短,用数字化处理技术来

    21、完成传统音频处理无法或难以完成的部分,尽可能实现输出信号完美的音质,做到数字处理与模拟处理的和协统一是信号处理技术唯一目的。实现音频信号的数字化处理一般有两种实现方法:一种是采用专用或通用的信号处理器,通过处理器及一些辅助的结构组建一个独立的系统进行信号的实时处理,系统包括通用(专用)数字信号处理器芯片、接口芯片、存储芯片、信号转换芯片等组成。这种方法常属于专用处理系统,可以开发成为拥有独立系统的产品使用。另一种方法是用电脑作为主处理器,挂接几块数字化信号处理板组成数字化处理系统,主要用于音频识别、音频合成等。1.2.3 SOPC的特点及其应用SOPC即可编程片上系统,它是System-on-

    22、a-Programmable-Chip的缩写。顾名思义,可编程片上系统,即是用可编程逻辑技术把整个系统放到一块硅片上。它是一种特殊的嵌入式系统:首先它是片上系统(SOC),其次它是可编程系统。所谓片上系统,即由单个芯片完成整个系统的主要逻辑功能;而可编程的优越性,使其具有灵活的设计方式,可裁减、可扩充、可升级,并具备软硬件在系统可编程的功能。SOPC设计技术基本上涵盖了嵌入式系统设计技术相关的全部容,这也体现了其巨大的优越性。SOPC技术不仅仅涉及到以处理器和实时多任务操作系统(RTOS)为重点的软件设计技术和以信号完整性分析为基础的高速电路设计技术,它还涉及目前及其热门的软硬件协同设计技术。

    23、传统的调试设备,如:逻辑分析仪、数字示波器等等,已很难进行直接测试分析,而由于SOPC的设计是在在可编程逻辑器件中进行的,并且BGA封装已被大量应用在微封装领域中,因此,这对以仿真技术为基础的软硬件协同设计技术提出更高的要求。同时,新的调试技术也不断出现,比如如Xilinx公司的片逻辑分析仪Chip Scope ILA就是一种价廉物美的片实时调试工具。SOPC包含了SOC和PLD、FPGA各自的优点,其基本特征,可总结如下:1)包含至少一个嵌入式处理器核;2)具有小容量片高速RAM资源;3)可供选择的IP Core资源相当丰富;4)片上可编程逻辑资源丰富;5)处理器调试接口以及FPGA编程接口

    24、;6)部分可编程模拟电路可能包含其中;7)低功耗、单芯片、微封装。基于Nios II的完整SOPC系统是一个既包含软件同时也包含硬件的系统,因此在设计时,可分为硬件部分和软件部分。Nios II的硬件部分设计,其目的是为了定制合适的CPU和外设,这部分需要在SOPC Builder和Quartus II中完成。利用SOPC Builder和Quartus II可以很方便定制Nios II CPU的许多特性或者指令,设计者可使用Quartus II中自带的大量IP核来加快开发速度,提高外设性能,同时也可以应用第三方的IP核来自行定制外设。完成硬件部分的开发后,SOPC Builder可自动生成与

    25、自定义CPU和外设地址映射、外设系统、存储器等相应的软件开发包SDK,然后在此基础上,进入软件开发流程。设计者可使用汇编或C、C+等程序设计语言来进行嵌入式设计,编译以及调试。SOPC的应用方向可总结如下:1)基于FPGA中嵌入IP硬核的应用。这种应用是指在FPGA中植入处理器。这种设计可使得SOPC系统中硬件设计软件功能有机结合,高效地完成SOPC系统设计。2)基于FPGA嵌入IP软核的应用。这种应用是指在FPGA中植入软核处理器,通常为NIOS II核。用户可依据设计要求,运用相应的EDA工具,构建处理器及外围设备,使该SOPC系统在软硬件结构设计、占用的资源、功能特点等方面全方位地满足客

    26、户系统的设计要求。3)基于HardCopy技术的应用。这种应用是指将成功实现于FPGA器件上的SOPC系统向ASIC转化。把ASIC的市场优势和大容量FPGA的灵活性结合起来,这方面的应用对于有较大批量要求以及对成本极为敏感的电子产品极为有利,避开了直接设计ASIC的困难。1.3 课题研究的容本课题主要研究基于FPGA的音频处理技术,利用FPGA器件对音频处理芯片WM8731进行相关配置,实现对音频信号的处理。本次设计涉及到的容有基于NIOS II的SOPC系统构架,音频信号的录放,WM8731芯片的控制,I2C协议,SDRAM的读写。图1、基于FPGA的音频处理系统其主要容包括对音频编/解码

    27、芯片WM8731的I2C总线配置模块的设计方法。将FPGA与数字语音系统的优点相结合,设计出一个高质量、易操作、易于移植的语音录放系统。2 器件介绍2.1 WM87312.1.1 WM8731概述WM8731是一款具有低功耗、高品质的音频编码解码芯片,其自带有耳机驱动,MIC音频接口,专为便携数字音频应用而设计。该器件可以提供CD音质的音频录音和回放,芯片自带集成耳机驱动器的立体声音频编解码器,采样率围8kHz 96kHz,在一定模式下可直接生成一般MP3的所有采样率(incl. 441.kHz)。不仅如此,该芯片还可满足麦克风直接输入,同时拥有带有侧音混频器的驻极体偏压等优点。该芯片置耳机输

    28、出放大器,支持MIC和LINE IN 两种输入方式,且对输入和输出都具有可编程增益调节,针对音频领域的应用,该芯片高度集成了模拟电路功能。它能为用户提供在单个时钟源下可独立编程ADC和DAC的采样率的独特能力,其中模数转换和数模转换部件高度集成在芯片部,且其采样频率为8KHZ- 96KHZ可调,可转换的数据位长度为16- 32位可调。WM8731带有一个片上时钟发生器,支持多种时钟模式。通过一个12MHz时钟,该器件可以直接生成44.1kHz、48kHz和96kHz等采样率,以及MP3标准定义的其他采样率,完全不需要一个独立的锁相环或晶振,并支持其他公用的主时钟频率。WM8731部有11个寄存

    29、器。该芯片的初始化和部功能设置是以控制接口对其部的这11个寄存器进行相应的配置来实现的。控制器可通过控制接口对WM8731中的寄存器进行编程配置,该控制接口符合的SPI(三线操作)和I2C(双线操作)规。通过对MODE端口的状态来选择控制接口类型。WM8731支持右对齐、左对齐、I2S以及DSP四种数字音频接口模式,通过数字音频接口读写数据音频信号。图2、WM8731原理图2.1.2 WM8731控制接口WM8731的控制接口有4根引脚,分别为:MODE(控制接口选择线)、CSB(片选或地址选择线)、SDIN(数据输入线)和SCLK(时钟输入线)。它具有2线和3线两种模式。2线为MPU接口,3

    30、线为兼容SPI接口。对控制接口的配置选择可通过设置MODE脚的状态完成。选择MODE为0时为2线模式,1时为3线模式。本开发板电路中MODE为0,本设计采用2线模式对WM8731进行控制。RADDR为34H,即WM8731的基址;DATAB15-8为寄存器地址,DATAB7-0为寄存器设置的参数;每传输一个字节都必须跟随一个应答位ACK,应答时钟脉冲由主器件生成。SCLK的最大频率为526KHz。WM8731部有11个寄存器,该芯片的初始化以及工作时的状态和功能都是通过I2C总线方式对其部的这11个寄存器进行相应的配置来实现的。表一、WM8731部寄存器地址及其功能介绍:寄存器地址00H02H

    31、04H06H08H0A0C0E10H12H1EH功能Left Line InRight Line InLetfHeadphoneOutRightHeadphoneOutAnalog AudioPathControlDigital AudioPathControlPower Down ControlDigitalAudioInterface FormatSampling ControlActive ControlResetRegister2.1.3 数字音频接口WM8731的数字音频接口有5根引脚,分别为:BCLK(数字音频位时钟)、DACDAT(DAC数字音频数据输入)、DACIRC(DAC采样左/右声道信号)、ADCDAT(ADC数字音频信号输出)、ADCLRC(ADC采样左/右声道信号)。数字音频接口可以工作在主模式和从模式下。地址为00001110(上表中0E)的寄存器的第6位设置数据的主/从模式:“1”为主模式,“0”为从模式。ADCDAT、/DACDAI和ADCLRC/DACLRC与位时钟BCIK同步,在每个BCLK的下降沿进行一次传输。BCLK和ADCLRC/DACLRC在主模式时为输出信号,从模式下为输入信号。DACDAT始终为输入信号,ADCDAT始终为输出信号。输出支持4种音频数据模式:右对齐、左对齐、I2S和DSP模式。地址为0000111


    注意事项

    本文(基于某FPGA的音频处理系统毕业设计论文设计.docx)为本站会员主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

    copyright@ 2008-2023 冰点文库 网站版权所有

    经营许可证编号:鄂ICP备19020893号-2


    收起
    展开