欢迎来到冰点文库! | 帮助中心 分享价值,成长自我!
冰点文库
全部分类
  • 临时分类>
  • IT计算机>
  • 经管营销>
  • 医药卫生>
  • 自然科学>
  • 农林牧渔>
  • 人文社科>
  • 工程科技>
  • PPT模板>
  • 求职职场>
  • 解决方案>
  • 总结汇报>
  • ImageVerifierCode 换一换
    首页 冰点文库 > 资源分类 > DOCX文档下载
    分享到微信 分享到微博 分享到QQ空间

    单片机电子时钟课程设计的心得体会范文.docx

    • 资源ID:9849122       资源大小:21.34KB        全文页数:15页
    • 资源格式: DOCX        下载积分:3金币
    快捷下载 游客一键下载
    账号登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录
    二维码
    微信扫一扫登录
    下载资源需要3金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP,免费下载
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    单片机电子时钟课程设计的心得体会范文.docx

    1、单片机电子时钟课程设计的心得体会范文单片机电子时钟课程设计心得领悟篇一:单片机数字钟设计心得领悟单片机数字钟设计心得领悟经过一周的课程设计, 我收获颇多,有深刻的心得领悟。实训让我们受益匪浅。第一是关于单片机方面的。我们学到了好多关于单片机系统开发的知识,从最开始选题到最后的结题,更使我们获取了充足的锻炼。其次,它让我领悟到了什么才是 teamwork spirit 。一如:团队管理的经验、团队意识的提升和协调能力等等,这些都会让我们平生受益。 经过此次课程设计,使我更加扎实的掌握了相关电子线路单片机方面的知识,在设计过程中诚然碰到了一些问题,但经过一次又一次的思虑,一遍又一遍的检查我终于找出

    2、了问题所在,也裸露出了先期我在这方面的知识欠缺和经验不足。实践才能出真知,实践才是检验真理的唯一标准,唯有经过亲自着手制作,才能令我们掌握的知识不再是一些纸上谈兵的东西。在此次的课程设计中,我们碰到了好多困难,过程很困难,但是我们都战胜了,这是对我们自己的必然。我们不断发现错误,不断改正,不断领悟,不断获取。我们也曾灰心,也曾茫然,也曾惊慌失措,从一开始的自信满满,到最后的紧张繁琐,所有的这些都令我们耐人回味,这已经成为了我们人生的一个宝藏。我想今后的学习和工作也是这样的,汗水目击着成功,我想十年过后,但我们都已经走入了社会,在某个阳光明亮的夏天,午后醒来,突然想起大学经历的时候,最先映入脑海

    3、里的就是这门课程吧,就是这些为了一个共同的目标,相互合作,共同奋斗的日子。不可否认,单片机是一门比较难的专业学科。但是经过这一学期的学习,我们感觉单片机这门课很好,让我们在设计中掌握课程,拥有很强的合用性。在社会上,单片机也应用极其广泛。经过此次课程设计,我掌握了常用元件的鉴别和测试;熟悉了常用仪器、仪表;认识了电路的连线方法;以及如何提升电路的性能等等。我相信在接下来的日子里,我会更深刻地去研究它,发掘它。在此次的实训里,我感觉过得很充足。实训,不但培养了我们独立思虑、着手操作的能力,在各种其他能力上也都有了提升。更重要的是,在实验课上,我们学会了好多学习的方法。而这是今后最合用的,真的是受

    4、益匪浅。要面对社会的挑战,只有不断的学习、实践,再学习、再实践。这关于我们的将来也有很大的帮助。今后,无论有多苦,我想我们都能变苦为乐,找寻幽默的事情,发现其中可贵的事情。汗水,是我们努力的过程,更是成功的使者。它是希望的凝聚。回首此次实训,我们真的学到了好多好多。牢固了以前所学过的知识,将理论与实质结合起来,不断提升自己的实质着手能力和独立思虑的能力,并学会去使用知识。自然不不过是这些课上的 知 识,更 要 的 是 一 些 课本上没 有但 是也很 重 要 的 知 识 。 像是团队合作精神等。当我们都已经走入了社会,在某个阳光明亮的夏天,午后醒来,突然想起大学经历的时候,回忆起这些泛着汗水的岁

    5、月的时候,定然会被我们的团队动人。成功后会很欢乐,但过程相同令我们耐人回味。此次设计也让我理解了思路即出路,学问学问,有学必问。只要认真研究,动脑思虑,着手实践,就没有弄不懂的知识。亲历亲为,亲手实践才是硬道理。希望这样的实训可以连续下去,其实不断地前进,帮助更多的学生更好地学习单片机,并可以在使用中发现它的无量魅力!2014 年 1 月 13 日篇二: 51 单片机电子时钟课程设计报告JINGCHU UNIVERSITY OFTECHNOLOGY单片机原理及应用课程设计报告题目:电子时钟专 业 电气工程及其自动化 班级2010 级电工 2 班 学 号 20 姓 名 王贞 指导教师 邹云峰 提

    6、交日期 2013年06 月 21 日第一部分 设计任务和要求单片机课程设计内容 2 单片机课程设计要求 2 系统运行流程 2 第二部分设计方案整体设计方案说明 2 系统方框图3系统流程图 3 第三部分 主要器材及基本简介主要器材 4 主要器材简介 4 第四部分 系统硬件设计最小系统 6 LCD显示电路 6 键盘输入电路 7 蜂鸣器和 LED灯电路 7第五部分 仿真电路图与仿真结果 8 第六部分 课程设计总结 8 第七部分 参考文件 9附录 A 实物图 附录 B 系统源程序第一部分 设计任务和要求单片机课程设计内容利用 STC89C51单片机和 LCD1602电子显示屏实现电子时钟,可由按键进行

    7、调时和 12/24 小时切换。单片机课程设计要求1能实现年、月、日、星期、时、分、秒的显示; 2能实现调时功能;3能实现 12/24 小时制切换;4能实现 8:0022:00 整点报时功能。系统运行流程程序第一进行初始化,在主程序的循环程序中第一调用数据办理程序,尔后调用显示程序,在判断可否有按键按下。若有按键按下则转到相应的功能程序执行,没有按键按下则调用时间程序。若没到则循环执行。计时中断服务程序完成秒的计时及向分钟、小时的进位和星期、年、月、日的进位。调时闪烁中断服务程序用于被调单元的闪烁显示。调时程序用于调整分钟、小时、星期、日、月、年,主要由主函数组成经过对相关子程序的调用,以下列图

    8、。实现了对时间的设置和改正、 LCD 显示数值等主要功能。相关的调整是靠对功能键的判断来实现的。第二部分 设计方案整体设计方案说明1程序设计及调试依照单片机课程设计内容和要求,完成 Protues 仿真电路的设计和用 Keil 软件编写程序,并进行仿真模拟调试。2硬件焊接及调试依照仿真电路图完成电路板的焊接,并进行软、硬件的调试,只到达到预期目的。 3后期办理对设计过程进行总结,完成设计报告。单片机系统方框图单片机系统流程图主流程图 键盘扫描流程图时钟流程图第三部分 主要器件及简介主要器件1.STC89C51单片机; 2LCD1602液晶显示屏;主要器件简介1STC89C51单片机简介STC8

    9、9C51是采用 8051 核的 ISP(InSystem Programming)在系统可编程芯片,最高工作时钟频率为 80MHz,片内含8K Bytes的可屡次擦写 1000 次的 Flash只读程序储藏器,器件兼容标准 MCS-51 指令系统及 80C51引脚结构,芯篇三:单片机电子时钟的设计报告1引言. 12 设 计 任 务 与 要求 . 2设 计 题目 . 2设 计 要求 . 23系 统 的 功 能 分 析 与 设 计 方案 . 3系 统 的 主 要 功能 . 3系 统 的 设 计 方案 . 3数 码 管 显 示 工 作 原理 . 4电 路 硬 件 设计 . 5设 计 原 理 框图 .

    10、 5电源部分. 5复位电路. 6指 示 灯电路. 6按键电路. 7时钟电路 . 7驱 动 电路 . 8数 码 管连 接 电路. 8主 控模块AT89S52 . 9材料清单 . 10电 路 原 理 图 、 PCB 图 及 实 物图 . 11软件设计. 13软 件 设 计 流程. 13完 整 源程序. 154 系 统 安 装 与 调试 . 21硬件电路的安装 .21软件调试 .521课程设计总结 .22参考文献 . 23致谢 . 24摘 要单片机自 20 世纪 70 年代问世以来,以其极高的性能价格比,碰到人们的重视和关注,应用很广、发展很快。单片机体积小、重量轻、抗搅乱能力强、环境要求不高、价格

    11、廉价、可靠性高、灵便性好、开发较为简单。由于拥有上述优点,在我国,单片机已广泛地应用在工业自动化控制、自动检测、智能仪器仪表、家用电器、电力电子、机电一体化设备等各个方面。此次课程设计经过对它的学习、应用,以 AT89S52芯片为核心,辅以必要的电路,设计了一个简单的单片机电子时钟,包括硬件电路原理的实现方案设计、软件程序编写的实现、电子时钟正常工作的流程、硬件的制作与软件的调试过程。电子时钟由直流电源供电,数码管可以比较正确显示时间,经过按键可以调整时间,进而到达学习、设计、开发软、硬件的能力。要点词:单片机; AT89S52;电子时钟;数码管;按键1序言1957 年,Ventura 发了然

    12、世界上第一个电子表,进而确定了电子时钟的基础。随着时间的推移, 科学技术的不断发展,人们对时间计量的精度要求越来越高,为了让时钟更好的为人民服务,就要求人们不断设计出新式时钟。现代的电子时钟是基于单片机的一种计时工具,采用延时程序产生必然的时间中断,用于一秒的定义,经过计数方式进行满六十秒分钟加一,满六十分小时加一,满二十四小时小时清零。进而达到计时的功能,是人民平常生活补课缺少的工具。 、此刻高精度的计时工具大多数都使用了石英晶体振荡器,由于电子钟,石英表,石英钟都采用了石英技术,因此走时精度高,牢固性好,使用方便,不需要经常调校,数字式电子钟用集成电路计时时,译码代替机械式传动,用 LED

    13、 显示器代替显示器代替指针显示进而显示时间,减小了计时误差,这种表拥有时、分、秒显示时间的功能,还可以进行时和分的校订,片选的灵便性好。时钟电路在计算机系统中起着特别重要的作用,是保证系统正常工作的基础。在一个单片机应用系统中,时钟有两方面的含义:一是指为保障系统正常工作的基准振荡准时信号,主要由晶振和外面电路组成,晶振频率的大小决定了单片机系统工作的快慢;二是指系统的标准准时时钟,即准时时间,它平常有两种实现方法:一是用软件实现,即用单片机内部的可编程准时 / 计数器来实现,但误差很大,主要用在对时间精度要求不高的场合;二是用特地的时钟芯片实现,在对时间精度要求很高的情况下,平常采用这种方法

    14、。本文主要介绍用单片机内部的准时/计数器来实现电子时钟的方法,本设计由单片机 AT89S52芯片和 LED数码管为核心,辅以必要的电路,组成了一个单片机电子时钟。2设计任务与要求利用单片机准时器制作数字时钟并可以实现时钟的控制。(1)基本要求 制作数字时钟系统; 可以控制时钟电路,选择时、分、秒,对时、分、秒进行自加; 灯的亮灭显示控制端, 口黄灯亮表示控制时,口红灯亮表示控制分,红灯、黄灯一起亮表示控制秒。(2)发挥部分 时间精度为秒。 设计要求 设计题目3系统的功能解析与设计方案利用 AT89S52单片机内部的准时 / 计数器、中断系统、以及行列键盘和 LED 显示器等部件,设计一个单片机

    15、电子时钟。设计的电子时钟经过数码管显示,并能经过按键实现设置时间和复位控制等。其他还要实现对时间的调整功能,AT89S5的、RST外接三个独立按键,当按下按键时,系统进入调时间的状态或启动时间显示的功能;当按下按键时,对显示的数码管进行加一的功能,达到调整时间的目的;当按下 RST按键时,实现对电子时钟进行复位的功能。整个系统采用应用广泛的 AT89S52 作为时钟控制芯片,利用单片机内部的准时器 计数器来实现的,它的办理过程以下:第一设定单片机内部的一个准时器 计数器工作于准时方式,对机器周期计数形成基准时间,尔后用另一个准时器 计数器或软件计数的方法对基准时间计数形成秒,秒计 60 次形成

    16、分,分计60 次形成小时,小时计 24 次则计满一天。尔后经过数码管把它们的内容在相应地址显示出来即可。数码管显示可以采用静态显示方法或动向显示方法。静态显示方法需要数据锁存器等硬件,接口复杂,时钟显示一般用 6 个或 8 个数码管。由于系统没有其他的复杂的任务办理,而且显示的时钟信息随时都可能变化,一般采用动向显示方式。动向显示方法线路相对简单,但需动向扫描,扫描频率要大于人眼视觉暂留频率,信息看起来才牢固。译码方式可分为软件译码和硬件译码,软件译码经过译码程序查得显示信息的字段码;硬件译码经过硬件译码器获取显示信息的字段码,实质中平常采用软件译码。在详尽办理时,准时器计数器采用中断方式工作,对时钟的形成在中断服务程序中实现。在主程序中只要瞄准时器计数器初始化、调用显示子程序和控制子程序。其他,为了使用方便,设计了简单的按键,可以经过按键实现时间调整和复位。 系统的设计方案 系统的主要功能


    注意事项

    本文(单片机电子时钟课程设计的心得体会范文.docx)为本站会员主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

    copyright@ 2008-2023 冰点文库 网站版权所有

    经营许可证编号:鄂ICP备19020893号-2


    收起
    展开