欢迎来到冰点文库! | 帮助中心 分享价值,成长自我!
冰点文库
全部分类
  • 临时分类>
  • IT计算机>
  • 经管营销>
  • 医药卫生>
  • 自然科学>
  • 农林牧渔>
  • 人文社科>
  • 工程科技>
  • PPT模板>
  • 求职职场>
  • 解决方案>
  • 总结汇报>
  • ImageVerifierCode 换一换
    首页 冰点文库 > 资源分类 > DOCX文档下载
    分享到微信 分享到微博 分享到QQ空间

    MIPS单周期CPU实验报告.docx

    • 资源ID:965617       资源大小:750.02KB        全文页数:37页
    • 资源格式: DOCX        下载积分:3金币
    快捷下载 游客一键下载
    账号登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录
    二维码
    微信扫一扫登录
    下载资源需要3金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP,免费下载
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    MIPS单周期CPU实验报告.docx

    1、MIPS单周期CPU实验报告 计算机组成原理实验实验报告(实验二)学院名称:专业(班级):学生姓名:学号:时间:2017年11月25日成绩:实验二: 单周期CPU设计与实现 一. 实验目的(1) 掌握单周期CPU数据通路图的构成、原理及其设计方法;(2) 掌握单周期CPU的实现方法,代码实现方法;(3) 认识和掌握指令与CPU的关系;(4) 掌握测试单周期CPU的方法;(5) 掌握单周期CPU的实现方法。二. 实验内容设计一个单周期的MIPSCPU,使其能实现下列指令:= 算术运算指令(1)add rd , rs, rt (说明:以助记符表示,是汇编指令;以代码表示,是机器指令)000000r

    2、s(5位)rt(5位)rd(5位)reserved功能:rdrs + rt。reserved为预留部分,即未用,一般填“0”。(2)addi rt , rs ,immediate 000001rs(5位)rt(5位)immediate(16位)功能:rtrs + (sign-extend)immediate;immediate符号扩展再参加“加”运算。 (3)sub rd , rs , rt000010rs(5位)rt(5位)rd(5位)reserved功能:rdrs - rt= 逻辑运算指令(4)ori rt , rs ,immediate 010000rs(5位)rt(5位)immedia

    3、te(16位)功能:rtrs | (zero-extend)immediate;immediate做“0”扩展再参加“或”运算。(5)and rd , rs , rt010001rs(5位)rt(5位)rd(5位)reserved功能:rdrs & rt;逻辑与运算。 (6)or rd , rs , rt010010rs(5位)rt(5位)rd(5位)reserved功能:rdrs | rt;逻辑或运算。=移位指令(7)sll rd, rt,sa 011000未用rt(5位)rd(5位)sareserved功能:rdrt比较指令(8) slt rd, rs, rt 带符号数011100rs(5

    4、位)rt(5位)rd(5位)reserved功能:if (rs 存储器读/写指令(9)sw rt ,immediate(rs) 写存储器100110rs(5位)rt(5位)immediate(16位)功能:memoryrs+ (sign-extend)immediatert;immediate符号扩展再相加。即将rt寄存器的内容保存到rs寄存器内容和立即数符号扩展后的数相加作为地址的内存单元中。(10) lw rt , immediate(rs) 读存储器100111rs(5位)rt(5位)immediate(16位)功能:rt memoryrs + (sign-extend)immediat

    5、e;immediate符号扩展再相加。即读取rs寄存器内容和立即数符号扩展后的数相加作为地址的内存单元中的数,然后保存到rt寄存器中。= 分支指令 (11)beq rs,rt,immediate 110000rs(5位)rt(5位)immediate(16位)功能:if(rs=rt) pcpc + 4 + (sign-extend)immediate 2 else pc pc + 4特别说明:immediate是从PC+4地址开始和转移到的指令之间指令条数。immediate符号扩展之后左移2位再相加。为什么要左移2位?由于跳转到的指令地址肯定是4的倍数(每条指令占4个字节),最低两位是“00

    6、”,因此将immediate放进指令码中的时候,是右移了2位的,也就是以上说的“指令之间指令条数”。12)bne rs,rt,immediate 110001rs(5位)rt(5位)immediate功能:if(rs!=rt) pcpc + 4 + (sign-extend)immediate 0) pcpc + 4 + (sign-extend)immediate 跳转指令(14)j addr 111000addr27.2= 停机指令(15)halt 11111100000000000000000000000000(26位)功能:停机;不改变PC的值,PC保持不变。三. 实验原理1.时间周期

    7、:单周期CPU指的是一条指令的执行在一个时钟周期内完成,然后开始下一条指令的执行,即一条指令用一个时钟周期完成。电平从低到高变化的瞬间称为时钟上升沿,两个相邻时钟上升沿之间的时间间隔称为一个时钟周期。时钟周期一般也称振荡周期(如果晶振的输出没有经过分频就直接作为CPU的工作时钟,则时钟周期就等于振荡周期。若振荡周期经二分频后形成时钟脉冲信号作为CPU的工作时钟,这样,时钟周期就是振荡周期的两倍。)CPU在处理指令时,一般需要经过以下几个步骤: (1) 取指令(IF):根据程序计数器PC中的指令地址,从存储器中取出一条指令,同时,PC根据指令字长度自动递增产生下一条指令所需要的指令地址,但遇到“

    8、地址转移”指令时,则控制器把“转移地址”送入PC,当然得到的“地址”需要做些变换才送入PC。 (2) 指令译码(ID):对取指令操作中得到的指令进行分析并译码,确定这条指令需要完成的操作,从而产生相应的操作控制信号,用于驱动执行状态中的各种操作。 (3) 指令执行(EXE):根据指令译码得到的操作控制信号,具体地执行指令动作,然后转移到结果写回状态。 (4) 存储器访问(MEM):所有需要访问存储器的操作都将在这个步骤中执行,该步骤给出存储器的数据地址,把数据写入到存储器中数据地址所指定的存储单元或者从存储器中得到数据地址单元中的数据。 (5) 结果写回(WB):指令执行的结果或者访问存储器中

    9、得到的数据写回相应的目的寄存器中。 单周期CPU,是在一个时钟周期内完成这五个阶段的处理。对于不同的指令,需要执行的步骤是不同的,其中取字指令(lw)需要执行全部五个步骤。因此,CPU的时间周期由取字指令决定。2.指令类型:MIPS的三种指令类型:其中,op:为操作码;rs:只读。为第1个源操作数寄存器,寄存器地址(编号)是0000011111,001F;rt:可读可写。为第2个源操作数寄存器,或目的操作数寄存器,寄存器地址(同上);rd:只写。为目的操作数寄存器,寄存器地址(同上);sa:为位移量(shift amt),移位指令用于指定移多少位;funct:为功能码,在寄存器类型指令中(R类

    10、型)用来指定指令的功能与操作码配合使用;immediate:为16位立即数,用作无符号的逻辑操作数、有符号的算术操作数、数据加载(Load)/数据保存(Store)指令的数据地址字节偏移量和分支指令中相对程序计数器(PC)的有符号偏移量;address:为地址。在本CPU设计中,由于指令的类型较少,所以所有指令均由操作码(op)确定。在R型指令中,功能码(funct)为000000。3.控制线路图与数据通路:上图为CPU的数据通路和必要的控制线路图,其中Ins.Mem为指令存储器,Data.Mem为数据存储器。访问存储器时,先给出内存地址,然后由读或写信号控制操作。对于寄存器组,先给出寄存器地

    11、址,读操作时,输出端就直接输出相应数据;而在写操作时,在 WE使能信号为1,在时钟边沿触发将数据写入寄存器。4.控制信号:控制信号的作用控制信号名状态“0”状态“1”Reset初始化PC为0PC接收新地址PCWrePC不更改,相关指令:haltPC更改,相关指令:除指令halt外ALUSrcA来自寄存器堆data1输出,相关指令:add、sub、addi、or、and、ori、beq、bne、bgtz、slt、sw、lw来自移位数sa,同时,进行(zero-extend)sa,即 270,sa,相关指令:sllALUSrcB来自寄存器堆data2输出,相关指令:add、sub、or、and、s

    12、ll、slt、beq、bne、bgtz来自sign或zero扩展的立即数,相关指令:addi、ori、sw、lwDBDataSrc来自ALU运算结果的输出,相关指令:add、addi、sub、ori、or、and、slt、sll来自数据存储器(Data MEM)的输出,相关指令:lwRegWre无写寄存器组寄存器,相关指令:beq、bne、bgtz、sw、halt、j寄存器组写使能,相关指令:add、addi、sub、ori、or、and、slt、sll、lwInsMemRW写指令存储器读指令存储器(Ins. Data)/RD读数据存储器,相关指令:lw输出高阻态/WR写数据存储器,相关指令:

    13、sw无操作RegDst写寄存器组寄存器的地址,来自rt字段,相关指令:addi、ori、lw写寄存器组寄存器的地址,来自rd字段,相关指令:add、sub、and、or、slt、sllExtSel(zero-extend)immediate(0扩展),相关指令:ori(sign-extend)immediate(符号扩展),相关指令:addi、sw、lw、bne、bne、bgtzPCSrc1.000:pcpc+4,相关指令:add、addi、sub、or、ori、and、slt、 sll、sw、lw、beq(zero=0)、bne(zero=1)、bgtz(sign=1,或zero=1);01

    14、:pcpc+4+(sign-extend)immediate,相关指令:beq(zero=1)、bne(zero=0)、bgtz(sign=0,zero=0);10:pc(pc+4)31.28,addr27.2,0,0,相关指令:j;11:未用ALUOp2.0ALU 8种运算功能选择(000-111),看功能表ALU功能表ALUOp2.0功能描述000Y = A + B加001Y = A B减010Y = BAB左移A位011Y = A B或100Y = A B与101Y=(AB)?1: 0比较A与B不带符号110if (AB &(A31 = B31 ) Y = 1;else if ( A31

    15、 & !B31) Y = 1;else Y = 0; 比较A与B带符号111Y = A B异或附:本CPU的指令集并未用到ALU的全部功能。5.主要模块接口说明: Instruction Memory:指令存储器, address,指令存储器地址输入端口 DataIn,指令存储器数据输入端口(指令代码输入端口) DataOut,指令存储器数据输出端口(指令代码输出端口) InsMemRW,指令存储器读写控制信号,为0写,为1读Data Memory:数据存储器, address,数据存储器地址输入端口 DataOut,数据存储器数据输出端口 /RD,数据存储器读控制信号,为0读 /WR,数据存

    16、储器写控制信号,为0写Register File:寄存器组 Read Reg1,rs寄存器地址输入端口 Read Reg2,rt寄存器地址输入端口 Write Reg,将数据写入的寄存器端口,其地址来源rt或rd字段 Write Data,写入寄存器的数据输入端口 Read Data1,rs寄存器数据输出端口 Read Data2,rt寄存器数据输出端口 WE,写使能信号,为1时,在时钟边沿触发写入 RST,寄存器清零信号,为0时寄存器清零ALU: 算术逻辑单元 result,ALU运算结果 zero,运算结果标志,结果为0,则zero=1;否则zero=0 sign,运算结果标志,结果最高位

    17、为0,则sign=0,正数;否则,sign=1,负数四. 实验器材电脑一台,Xilinx Vivado 软件一套,Basys3板一块。五. 实验过程与结果1.各个指令对应的控制信号指令PCWreALUSrcAALUSrcBDBDataSrcRegWreInsMemRWRDWRRegDstExtSelAdd100011111XAddi1010111101Sub100011111XOri1010111100And100011111XOr100011111XSll110011111XSlt100011111XSw101X0110X1Lw1011110101Beq100X0111X1Bne100X01

    18、11X1Bgtz100X0111X1J1XXX0111XXHalt0XXX0111XX控制信号ALUOpAdd000Addi000Sub001Ori011And100Or011Sll010Slt110Sw000Lw000Beq001Bne001Bgtz101J010HaltXXX除异或运算(111)外,ALU所有功能均被使用。PCSrc指令00add、addi、sub、or、ori、and、slt、sll、sw、lw、beq(zero=0)、bne(zero=1)、bgtz(sign=1,或zero=1)01beq(zero=1)、bne(zero=0)、bgtz(sign=0,zero=0)

    19、10j2.主要模块代码及仿真(1)控制单元(control unit)Verilog代码:1. modulecontrolUnit(2. input5:0opcode,3. inputzero,4. inputsign,5. outputregPCWre,6. outputregALUSrcA,7. outputregALUSrcB,8. outputregDBDataSrc,9. outputregRegWre,10. outputregInsMemRW,11. outputregRD,12. outputregWR,13. outputregRegDst,14. outputregExtS

    20、el,15. outputreg1:0PCSrc,16. outputreg2:0ALUOp17. );18. initialbegin19. RD=1;20. WR=1;21. RegWre=0;22. InsMemRW=0;23. end24. always(opcode)begin25. case(opcode)26. 6b000000:begin/add27. PCWre=1;28. ALUSrcA=0;29. ALUSrcB=0;30. DBDataSrc=0;31. RegWre=1;32. InsMemRW=1;33. RD=1;34. WR=1;35. RegDst=1;36.

    21、 ALUOp=3b000;37. end38. 6b000001:begin/addi39. PCWre=1;40. ALUSrcA=0;41. ALUSrcB=1;42. DBDataSrc=0;43. RegWre=1;44. InsMemRW=1;45. RD=1;46. WR=1;47. RegDst=0;48. ExtSel=1;49. ALUOp=3b000;50. end51. 6b000010:begin/sub52. PCWre=1;53. ALUSrcA=0;54. ALUSrcB=0;55. DBDataSrc=0;56. RegWre=1;57. InsMemRW=1;

    22、58. RD=1;59. WR=1;60. RegDst=1;61. ALUOp=3b001;62. end63. 6b010000:begin/ori64. PCWre=1;65. ALUSrcA=0;66. ALUSrcB=1;67. DBDataSrc=0;68. RegWre=1;69. InsMemRW=1;70. RD=1;71. WR=1;72. RegDst=0;73. ExtSel=0;74. ALUOp=3b011;75. end76. 6b010001:begin/and77. PCWre=1;78. ALUSrcA=0;79. ALUSrcB=0;80. DBDataSrc=0;81. RegWre=1;82. InsMemRW=1;83. RD=1;84. WR=1;85. RegDst=1;86. ALUOp=3b100;87. end88. 6b010010:begin/or89. PCWre=1;90. ALUSrcA=0;91. ALUSrcB=0;92. DBDataSrc=0;93.


    注意事项

    本文(MIPS单周期CPU实验报告.docx)为本站会员主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

    copyright@ 2008-2023 冰点文库 网站版权所有

    经营许可证编号:鄂ICP备19020893号-2


    收起
    展开