欢迎来到冰点文库! | 帮助中心 分享价值,成长自我!
冰点文库
全部分类
  • 临时分类>
  • IT计算机>
  • 经管营销>
  • 医药卫生>
  • 自然科学>
  • 农林牧渔>
  • 人文社科>
  • 工程科技>
  • PPT模板>
  • 求职职场>
  • 解决方案>
  • 总结汇报>
  • ImageVerifierCode 换一换
    首页 冰点文库 > 资源分类 > DOCX文档下载
    分享到微信 分享到微博 分享到QQ空间

    EDA课程设计实验电子秒表.docx

    • 资源ID:9479111       资源大小:1.61MB        全文页数:15页
    • 资源格式: DOCX        下载积分:3金币
    快捷下载 游客一键下载
    账号登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录
    二维码
    微信扫一扫登录
    下载资源需要3金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP,免费下载
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    EDA课程设计实验电子秒表.docx

    1、EDA课程设计实验电子秒表1.【摘要】 62.【实验目的】 63.【实验原理】 6秒表功能 6秒表设计结构 7秒表设计思路 7系统组成框图 74.【实验步骤与内容】 8六进制计数器 8 十进制计数器 8蜂鸣器控制电路 9 Pins/引脚绑定 10顶层文件设计 105.【实验箱操作效果】 116.【实验心得和体会】 137.【参考文献】 14附录 14课程设计实验题目:电子秒表的设计1.【摘要】电子秒表是生活中大家都很熟悉的事物,在EDA设计中也是一个不错的选题。设计首先需要考虑秒表的整体构成,主要由分频器与计数器组成。通过计数器进位端相联系。设计好顶层原理图后,需要用VHDL语言对各个模块进行

    2、行为描述,完成对各模块的设计。这应该属于自定向下,模块化的设计方法。2.【实验目的】完成具有多计数功能的秒表,并可将结果逐一显示在7段数码管上,具体要求如下: )(1)输入时钟10khz,采用Altera EP1T3C144C8 FPGA;(2)异步、同步复位,计时精度1ms,最大计时240秒;(3)至少对6个目标计时,并可显示于7段数码管,秒表的显示范围是00:00:00-59:59:99;(4)可清零与复位;3.【实验原理】秒表功能秒表的显示范围是00:00:00-59:59:99,显示精度为1ms,可控的启动功能(通过计数器的cin端口来控制计数器的启动,也即控制数字秒表的启动)及数字秒

    3、表清零功能(通过控制计数器清零端来实现,当清零端为高电平时,计数器清零,也即数字秒表清零,否则秒表正常计数),清零时蜂鸣器报警。秒表设计结构秒表的物理结构比较简单,它主要由十进制计数器、六进制计数器、数据选择器、显示译码器蜂鸣器等组成。此外,秒表还需有一个启动信号、清零信号及报时信号,以便实现对秒表的控制和有效应用(启动和清零信号及报时信号由使用者给出,设计时主要任务是将此外界信号的功能准确的通过数字秒表体现出来,也即,当使用者给出启动信号时数字秒表能够正常启动,上面已经给出,启动和清零的功能是通过将此信号送给计数器来实现的)。 秒表设计思路 十进制计数器clk端接石英晶体振荡器,选择振荡频率

    4、为1000HZ。则振荡一秒钟之后,十进制计数器进位输出端输出100个高电平信号,每个高电平信号表示s,也即10ms。然后将10ms的信号接到下一个十进制计数器的clk端,则10个此信号之后,输出一个高电平信号,也即每个高电平信号表示1010=100ms。依此类推,通过选择不同的计数器,在进位输出端可分别得到10ms、100ms、1s、10s等进位信号。与此同时,为了使计数器记录的数字在七段显示器上显示出来,计数器除了有进位输出端之外还应该有BCD码输出端,以便连接显示译码器。由于数字秒表有6块七段显示器,那么理应要有6块与之对应的显示译码器。但是在工程中,为了节约成本一般只用一块显示译码器,此

    5、译码器由控制器控制输入(输入信号为各个译码器的BCD码输出端),间接控制输出。也就是说数字秒表各个显示器不是一直在显示着,而是各个显示器交替显示,只是由于控制器扫描频率足够大,人眼分辨不出这种交替显示,误以为是一直显示着。系统组成框图 系统组成框图如图所示。4.【实验步骤与内容】六进制计数器将VHDL文本文件在Quartus上编译仿真(为了仿真,需要将此VHDL文件设置为工程文件),仿真结果如下图所示。然后新建block symbol file,接着选择菜单filecreate/updatecreate symbol files for current file将VHDL文件转换成symbol

    6、文件,以便在顶层文件设计中调用。转换成功后要保存并命名(命名与VHDL文件命名规则相同,要与实体名保持一致)。六进制计数器symbol文件如下图所示,clk为时钟信号、clr为清零端(clr为高电平时计数器清零)、start为使能信号(start为1时计数器正常计数,否则计数器不工作)、daout3.0BCD码输出端口、cout为进位输出端口。六进制计数器VHDL语言描述详见附件。/cnt6波形仿真示意图如下 十进制计数器将VHDL文本文件在Quartus上编译仿真,仿真结果如图所示。然后按照上述步骤将VHDL文件转换成symbol文件并保存。十进制计数器symbol文件如下图所示,端口功能描

    7、述参照六进制计数器。十进制计数器VHDL语言描述详见附件。cnt10波形仿真示意图如下、蜂鸣器控制电路将此VHDL文本文件在Quartus上编译仿真,仿真结果如图(表示若接通clk,则当I为高电平且clk为上升沿时q输出高电平,也即蜂鸣器响一声,随后当下一个clk上升沿到来,由于此时I为零,q输出低电平,也即蜂鸣器在计数达到一小时时报时一声)和下图(表示若接通clk端,则每一次I输入高电平,也即每当计数器计到一小时那一刻q都对应一个高电平,即每当计数达到一小时,都会报时)所示。然后按照上述步骤将VHDL文件转换成symbol文件并保存。蜂鸣器控制电路symbol文件如图所示。蜂鸣器控制电路VH

    8、DL语言描述详见附件。蜂鸣器仿真波形图如下 Pins/引脚绑定.顶层文件设计为了建立顶层文件调用子程序模块,必须建立一个原理图编辑窗口。选择菜单filesnewblock diagram/schematic file,然后将其设置为新的工程,并将所有的子程序添加到此工程中,然后保存并命名为Timer。建立工程后,在新打开的原理图编辑窗口双击鼠标,添加各个子模块,然后将子模块按照中的设计思路连接起来,得到数字秒表原理图文件,如下图所示。5.【实验箱操作效果】把文件下载到GW48/SOPC实验箱。选择usb-blaster(0),点击start,开始下载,等待Progress到达100%;选择模式

    9、5键1为Start / Stop键2为 Res/复位clk与clk2时钟频率选择clk选择为,clk2选择1024hz&最终实验效果如下图6.【实验心得和体会】通过这次设计,首先把课堂上学习的硬件描述语言应用到了实际,巩固了已经学到的东西,并对它有了更深层次的理解。理论应用到实际总是能让人学到很多。其次,实际操作让我了解一个电子系统的实际开发过程,这就是学到了实际操作方面的东西。还有,这个设计过程让我体会到了模块化设计法的优势。最后,在设计中遇到的各种问题让我产生很多思考,体会到依靠思考解决实际问题的乐趣。最后想说的是,这虽然是一个很简单的系统,但是在设计过程中也称得上困难重重,历经困惑。一个

    10、看上去挺简单的东西,要实现它却是另一回事,会有很多想不到的困难。那么看上去就很难的东西,实现起来就更难于上青天了。但是人们却能把这样的东西做出来,比如计算机系统。这就是人类智慧和现代科技的伟力。7.【参考文献】1 王金明 数字系统设计与VerilogHDL 电子工业出版社 :2 马建国 FPGA现代数字系统设计 清华大学出版社 3 王城 AlteraFPGA/CPLD设计(基础篇)人民邮电出版社 4 李洪伟 基于QuartusII的FPGA/CPLD设计电子工业出版社 5 杜慧敏 基于Verilog的FPGA设计基础 西安电子科技大学出版附录各个模块的VHDL文件LIBRARY IEEE;、U

    11、SE CLKGEN IS PORT (CLK :IN STD_LOGIC; NEWCLK : OUT STD_LOGIC);END ENTITY CLKGEN;ARCHITECTURE ART OF CLKGEN IS SIGNAL CNTER: INTEGER RANGE 0 TO 10#119999#;BEGINPROCESS(CLK) IS%BEGIN IF CLK EVENT AND CLK=1THEN IF CNTER=10#119999#THEN CNTER=0;ELSE CNTER=CNTER+1; END IF; END IF;end process;PROCESS(CNTER

    12、) ISBEGIN IF CNTER=10#119999# THEN NEWCLK=1; ELSE NEWCLK0) ; ELSIF CLKEVENT AND CLK=1 THEN IF EN = 1 THEN IF CQI 9 THEN CQI := CQI + 1; COUT 0); COUT = 1; END IF; END IF; END IF; CQ ARCHITECTURE behav OF CNT6 IS BEGIN PROCESS(CLK, RST, EN) VARIABLE CQI : STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN IF RST =

    13、1 THEN CQI := (OTHERS =0) ; ELSIF CLKEVENT AND CLK=1 THEN IF EN = 1 THEN # IF CQI 5 THEN CQI := CQI + 1; COUT 0); COUT = 1; END IF; END IF; END IF; CQ = CQI; END PROCESS;/ END behav;Library ieee; Use Use Entity aa is Port( clk:in std_logic; beep:out std_logic); end aa; Architecture m1 of aa is signal beep_r:std_logic; signal count:std_logic_vector(15 downto 0); begin beep=beep_r; process(clk) begin if clkevent and clk=1 then count=count+1; end if; end process; process(count(15) begin beep_r=not beep_r ; end process; end m1;


    注意事项

    本文(EDA课程设计实验电子秒表.docx)为本站会员主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

    copyright@ 2008-2023 冰点文库 网站版权所有

    经营许可证编号:鄂ICP备19020893号-2


    收起
    展开