欢迎来到冰点文库! | 帮助中心 分享价值,成长自我!
冰点文库
全部分类
  • 临时分类>
  • IT计算机>
  • 经管营销>
  • 医药卫生>
  • 自然科学>
  • 农林牧渔>
  • 人文社科>
  • 工程科技>
  • PPT模板>
  • 求职职场>
  • 解决方案>
  • 总结汇报>
  • ImageVerifierCode 换一换
    首页 冰点文库 > 资源分类 > DOCX文档下载
    分享到微信 分享到微博 分享到QQ空间

    EDA课程设计数字时钟闹钟设计.docx

    • 资源ID:9478429       资源大小:47.37KB        全文页数:13页
    • 资源格式: DOCX        下载积分:3金币
    快捷下载 游客一键下载
    账号登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录
    二维码
    微信扫一扫登录
    下载资源需要3金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP,免费下载
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    EDA课程设计数字时钟闹钟设计.docx

    1、EDA课程设计数字时钟闹钟设计 课 程 设 计 说 明 书题目: 闹钟 学院(系):年级专业:学 号:学生姓名:指导教师:教师职称:目 录第1章 摘要1第2章 设计方案 2.1 VHDL简介 2.2 设计思路第3章 模块介绍第4章 Verilog HDL设计源程序第5章 波形仿真图第6章 管脚锁定及硬件连线心得体会 17参考文献 18第一章 摘要 在当今社会,数字电路产品的应用在我们的实际生活中显得越来越重要,与我们的生活联系愈加紧密,例如计算机、仪表、电子钟等等,使我们的生活工作较以前的方式更加方便、完善,带来了很多的益处。 在此次EDA课程,我的设计课题是闹钟,使用VHDL语言进行编程完成

    2、。报告书主要由设计方案、模块介绍、设计源程序、仿真波形图和管脚锁定及硬件连线四部分组成。设计方案主要介绍了我对于设计课题的大致设计思路,之后各个部分将会详细介绍设计组成及程序。第二章 设计方案2.1 VHDL简介数字电路主要是基于两个信号(我们可以简单的说是有电压和无电压),用数字信号完成对数字量进行算术运算和逻辑运算的电路我们称之为数字电路,它具有逻辑运算和逻辑处理等功能,数字电路可分为组合逻辑电路和时序逻辑电路。EDA技术,就是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,

    3、自动完成用软件的方式设计的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、逻辑布局布线、逻辑仿真,直至完成对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片的一门新技术。利用EDA技术进行电子系统的设计,具有以下几个特点: 用软件的方式设计硬件; 用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的; 设计过程中可用有关软件进行各种仿真; 系统可现场编程,在线升级; 整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。因此,EDA技术是现代电子设计的发展趋势。EDA技术伴随着计算机、集成电路、电子系统设计的发展,经历了计算机

    4、辅助设计(Computer Assist Design,简称CAD)、计算机辅助工程设计(Computer Assist Engineering Design,简称CAE)和电子设计自动化(Electronic Design Automation,简称EDA)三个发展阶段。常用的硬件描述语言有VHDL、Verilog、ABEL。EDA技术是随着集成电路和计算机技术的飞速发展应运而生的一种高级、快速、有效的电子设计自动化工具。它是为解决自动控制系统设计而提出的,从70年代经历了计算机辅助设计(CAD),计算机辅助工程(CAE),电子系统设计自动化(ESDA)3个阶段。前两个阶段的EDA产品都只是

    5、个别或部分的解决了电子产品设计中的工程问题;第三代EDA工具根据工程设计中的瓶颈和矛盾对设计数据库实现了统一管理,并提出了并行设计环境概念,提供了独立于工艺和厂家的系统级的设计工具。EDA关键技术之一就是采用硬件描述语言对硬件电路进行描述,且具有系统级仿真和综合能力。目前应用比较广泛的硬件描述语言就是Verilog HDL。2 Verilog HDL简介Verilog HDL是目前大规模集成电路设计中最具代表性、使用最广泛的硬件描述语言之一。具有如下特点:(1)能够在不同的抽象层次上,如系统级、行为级、RTL级、门级和开关级,对设计系统进行精确而简练的描述。(2)能够在每个抽象层次的描述上对设

    6、计进行仿真验证,及时发现及时发现可能存在的错误,缩短设计周期,并保存整个设计过程的正确性。(3)由于代码描述与工艺过程实现无关,便于设计标准化,提高设计的可重用性。如国有C语言的编程基础经验,只需很短的时间就能学会和掌握Verilog HDL,因此,Verilog HDL可以作为学习HDL设计方法的入门和基础。2.2 设计思路一、设计要求1、设计简易的一分钟闹钟;2、可手动输入定时时间(059s),如30s;3、两个动态数码管上跟踪显示时间的变化:如30,29,28到了指定时间蜂鸣器发出5s的提示音;4、采用2个动态数码管显示时间;5、用蜂鸣器发出提示音;6、拨码开关设置定时时间。二、设计思路

    7、根据上述的设计要求,整个系统大致包括如下几个组成部分:它包括以下几个组成部分:1) 显示屏,由2个七段动态数码管组成,用于显示当前设置的闹钟时间并进行跟踪显示;2)6个拨码开关,用于输入闹钟时间;3)复位键,确定新的闹钟时间设置,或显示已设置的闹钟时间;4)蜂鸣器,在当前时钟时间与闹钟时间相同时,发出报警声。第三章 模块介绍一、计时模块此模块共有6个拨码开关作为输入信号,当开关无输入时,都处于低电平状态,D5、D4、D3、D2、D1和D0是并行数据输入端,CRN是异步复位输入端,LDN是预置控制输入端。当开关有输入时,会产生一个六位的二进制输出信号num,此信号表示动作的开关序号,它是作为动态

    8、显示模块的输入信号。二、数码显示模块这个模块有两个输入信号和两个输出信号。其中一个是信号输入模块的输出num作为输入,另外一个是时钟输入端,作为扫描数码管的频率信号,采用1024HZ的中高频信号。输出信号为SS0、SS1、SS2,是动态数码管的片选段。三、报警模块报警模块共有两个输入信号ET和CLK1,一个输出信号COUT。当从信号输入模块检测到有开关输入时,ET信号已置1,CLK上升沿到来时,程序将COUT置1,蜂鸣器发出时间为10s的报警信号,时间到达后,跳出循环,蜂鸣器停止报警。四、顶层模块顶层模块的作用是将各个模块组合到一起,从而实现最终的功能。其输入即为各个模块的输入,一个时钟信号,

    9、还有6个拨码开关的输入,其输出为数码管显示和报警器。第四章Verilog HDL设计源程序一、计时模块module counter(LDN,D5,D4,D3,D2,D1,D0,CLK,CRN,Q,OC);input LDN,D5,D4,D3,D2,D1,D0,CLK,CRN;output5:0 Q;output OC;reg OC;reg5:0 Q;reg5:0 Q_TEMP;reg3:0 NUM;always(posedge CLK or negedge CRN)begin if(CRN) Q_TEMP=6b000000;else if(LDN) beginQ_TEMP=D5,D4,D3,D

    10、2,D1,D0;NUM=4b0000;endelse if(Q_TEMP6b000000) beginif(NUM=4b0000)NUM=NUM+1;else NUM=4b0001;if(NUM=4b1000)Q_TEMP=Q_TEMP-1;endelse Q_TEMP=6b000000;endalwaysbegin if(Q_TEMP=6b000000&LDN) OC=1b1;else OC=1b0;Q=Q_TEMP;endendmodule二、数码显示模块module showtime(A,Q,CLKM,SS0,SS1,SS2);input5:0 A;input CLKM;output6:

    11、0 Q;output SS0,SS1,SS2;reg6:0 Q;reg SS0,SS1,SS2;reg M;reg5:0 B;reg5:0 C;always(posedge CLKM)beginM=M+1;endalwaysbeginif(Ab001001&Ab10011&Ab11101&Ab100111&Ab110001&A=b111011)beginB=A-50;C=5;endelse if(A=b111100)beginB=0;C=6;endif(M=b1)beginSS0=1;SS1=0;SS2=0;case(B)b000000:Q=b0111111;b000001:Q=b000011

    12、0;b000010:Q=b1011011;b000011:Q=b1001111;b000100:Q=b1100110;b000101:Q=b1101101;b000110:Q=b1111101;b000111:Q=b0000111;b001000:Q=b1111111;b001001:Q=b1101111;default:Q=b0111111;endcaseendelse if(M=b0)beginSS0=0;SS1=0;SS2=0;case(C)b000000:Q=b0111111;b000001:Q=b0000110;b000010:Q=b1011011;b000011:Q=b100111

    13、1;b000100:Q=b1100110;b000101:Q=b1101101;b000110:Q=b1111101;default:Q=b0111111;endcaseendendendmodule三、报警模块module speaker(CLK1,ET,COUT);input CLK1,ET;output COUT;reg COUT;reg5:0 TEMP;always(posedge CLK1)begin if(ET) TEMP=6b000000;else if(TEMP=6b000000) TEMP=TEMP+1;else TEMP=6b101001;endalwaysbeginif(

    14、TEMPchip=timer;Input Pin=75CLKMchip=timer:Input Pin=83CLK1 chip=timer;Input Pin=85COUT chip=timer;Output Pin=38CRN chip=timer;Input Pin=39DO chip=timer;Input Pin=53D1 chip=timer;Input Pin=47D2 chip=timer;Input Pin=46D3 chip=timer;Input Pin=45D4 chip=timer;Input Pin=44D5 chip=timer;Input Pin=41LDN ch

    15、ip=timer;Input Pin=40LED0 chip=timer;Output Pin=173LED1 chip=timer;Output Pin=174LED2 chip=timer;Output Pin=175LED3 chip=timer;Output Pin=176LED4 chip=timer;Output Pin=177LED5 chip=timer;Output Pin=179LED6 chip=timer;Output Pin=187SSO chip=timer;Output Pin=191SS1 chip=timer;Output Pin=192SS2 chip=ti

    16、mer;Output Pin=193引线说明:拨码开关使用数字开关组A,需外接引线。心得体会通过这次设计,初步对EDA有一个了解。出于没有学习过这门课程,所以再课程设计开始时对于EDA就是一无所知。课程设计之初是做了一个简单的培训,关于软件和硬件的设计,算是入门训练吧。通过前两天的课程讲解,我对其有了初步的了解。之后就开始按照指导书中的例题就行练习,仿真,有进一步的掌握后就开始了自己的课程设计。由于我C+程序设计知识不扎实,所以导致这次软件设计困难重重,也让我明白了在这个领域知识的串联是非常普遍的,学好学扎实是我们必须要做到的要求。 通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能提高自己的实际动手能力。在设计的过程中,可以说得是困难重重,但这毕竟第一次做,同时在设计的过程中发现了自己的不足之处。 在此还需要感谢郑兆兆老师和吕宏诗老师耐心的指导与帮助,使课设能够更加顺利的完成。参考文献1、 阎石主编. 数字电子技术基础(第五版).高等教等育出版社.2006.5,168-175页 ;2、 李国丽、朱维勇、何剑春主编 EDA与数字系统设计(第2版).机械工业出版社.2009.3,105-146页


    注意事项

    本文(EDA课程设计数字时钟闹钟设计.docx)为本站会员主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

    copyright@ 2008-2023 冰点文库 网站版权所有

    经营许可证编号:鄂ICP备19020893号-2


    收起
    展开