欢迎来到冰点文库! | 帮助中心 分享价值,成长自我!
冰点文库
全部分类
  • 临时分类>
  • IT计算机>
  • 经管营销>
  • 医药卫生>
  • 自然科学>
  • 农林牧渔>
  • 人文社科>
  • 工程科技>
  • PPT模板>
  • 求职职场>
  • 解决方案>
  • 总结汇报>
  • ImageVerifierCode 换一换
    首页 冰点文库 > 资源分类 > DOCX文档下载
    分享到微信 分享到微博 分享到QQ空间

    fpga技术课程设计数字式竞赛抢答器终稿.docx

    • 资源ID:9341834       资源大小:309.87KB        全文页数:23页
    • 资源格式: DOCX        下载积分:3金币
    快捷下载 游客一键下载
    账号登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录
    二维码
    微信扫一扫登录
    下载资源需要3金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP,免费下载
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    fpga技术课程设计数字式竞赛抢答器终稿.docx

    1、fpga技术课程设计数字式竞赛抢答器终稿西南科技大学FPGA技术课程设计报告设计名称:数字式竞赛抢答器 姓 名: 学 号: 专业班级 通信1301 教 师: 徐锋 西南科技大学信息工程学院制设 计 任 务 书设计名称: 数字式竞赛抢答器 组长姓名: 组员姓名(其他5人): 课题总设计要求:设计题目的要求: (1)设计一个可容纳 3 组参赛的数字式抢答器,每组设一个按钮,供抢答使用。 (2)抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。 (3)设置一个主持人“复位”按钮。 (4)主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,有指示灯显示抢 答组别,扬声器发出 12

    2、秒的音响。 (5)设置一个计分电路,每组开始预置 100 分,由主持人记分,答对一次 1 0分,答错 一次减 10 分。 组员(姓名) 张涛 的设计要求(由组长提供给组员):主要任务:设计抢答鉴别锁存模块输入端口:group1,group2,group3,reset.输出端口:group2:0功能描述:reset为主持人复位信号(开始抢答信号),当reset有一个低电平到来时(下降沿),该模块开始锁存输入端口的信号,当其中有一个发生变化时,将输入封锁。然后将锁存到的信号按下列真值表输出:锁存信号输出信号group1group2group3group1group2011011001011011当

    3、信号还没有被锁存时,group输出为00。组员(姓名) 曾雪 的设计要求:主要任务:组别译码提醒模块输入信号:group2:0,clk输出信号:warn,light2:0功能描述:模块用于控制蜂鸣器及三个LED灯,其中warn控制蜂鸣器,light控制组别信号灯。当出现group信号从00变化到其它后,蜂鸣器发出12s声响,频率由表示已经抢答到,对应抢答到组别的LED灯亮。组员(姓名) 李明亮 的设计要求:主要任务:计分模块输入端口:group2:0,right,wrong输出端口:score17:0,score27:0,score37:0功能描述:该模块用于对各个组进行计分,并将结果输出。当

    4、right每来一个下降沿触发对应组别加分功能,当wrong每来一个下降沿触发对应组别扣分功能。其中加分功能和扣分功能有其他组员提供函数。score1,score2,score3分别对应于组别1,组别2,组别3的计分结果。由于只需考虑十位和百位,所以只需要8位的二进制数,其中score的高4位表示得分的百位,低四位表示得分的十位数字。组员(姓名) 王翔 的设计要求:主要任务:组别分数动态扫描显示模块输入端口:score17:0 ,score27:0,score37:0,group2:0输出端口:wei7:0,duan6:0功能描述:该模块主要实现对分数,抢答到的组别的动态扫描,将它们动态显示在数

    5、码数码管上。其中score1,score2,score3分别表示当前得分,wei7:0和duan6:0分别表示位选和段选信号,clk为扫描时钟。 组员(姓名) 林静 的设计要求:主要任务:编写2个函数,分别实现计数模块加分功能,扣分功能。 并在一个模块进行仿真测试函数功能,直到正确。add:其参数为当前分数,实现对参数的加分功能,每次加分为10分,并以八位二进制储存百位和十位,其中高四位表示得分百位上的数字,低四位表示十位上的数字。reduce: 实现对参数的加分功能,每次扣分为10分,其他相同。 2015 年 4 月 20 日一 设计步骤1、 设计准备:根据设计需求,分析整个系统功能及设计任

    6、务,可知,系统需要四个模块来实现其功能。分别为:设计抢答鉴别锁存模块、组别译码抢答模块、计分模块、组别分数动态扫描模块。初步选定设计方案,然后根据功能分配任务。2、 设计输入:(1) 设计编写抢答锁存模块代码。(2) 设计编写组别译码模块。(3) 设计编写计分模块(4) 设计动态扫描模块(5) 将以上四个模块组合,设计出这四个模块的顶层模块。(6) 加上消抖模块3、 功能仿真:在每个模块设计完成后,对该模块设计仿真波形,进行仿真。4、 引脚约束:根据功能设计及FPGA外围电路设计,对引脚进行约束。二设计整体流程图三设计方案(可包含主控制电路状态转换图) 1、 FPGA的具体模块电路连接组合如下

    7、图所示:2、 各个模块的功能及设计方案;(1)抢答锁存鉴别模块功能:reset为主持人复位信号(开始抢答信号),当reset有一个低电平到来时(下降沿),该模块开始锁存输入端口的信号,当其中有一个发生变化时,将输入封锁。然后将锁存到的信号按真值表输出。 (2) 组别译码提醒模块 功能:模块用于控制蜂鸣器及三个LED灯,其中beep控制蜂鸣器,light控制组别信号灯。当出现group信号从00变化到其它后,蜂鸣器发出12s声响,表示已经抢答到,对应抢答到组别的LED灯亮。 (3)计分模块功能:该模块用于对各个组进行计分,并将结果输出。当right每来一个下降沿触发对应组别加分功能,当wrong

    8、每来一个下降沿触发对应组别扣分功能。score1,score2,score3分别对应于组别1,组别2,组别3的计分结果。由于只需考虑十位和百位,所以只需要8位的二进制数,其中score的高4位表示得分的百位,低四位表示得分的十位数字。(4) 计分模块的加分,扣分函数设计:Add:其参数为当前分数,实现对参数的加分功能,每次加分为10分,并以八位二进制储存百位和十位,其中高四位表示得分百位上的数字,低四位表示十位上的数字。reduce: 实现对参数的加分功能,每次扣分为10分,其他相同。(5)动态扫描组别分数显示模块功能:该模块主要实现对分数,抢答到的组别的动态扫描,将它们动态显示在数码数码管上

    9、。其中score1,score2,score3分别表示当前得分,wei7:0和duan6:0分别表示位选和段选信号. (6)前4个模块的组合设计思路:根据设计方案具体的模块连接,如1中图所示,设计顶层模块。输入:总共3个按键输入信号,一个时钟输入信号输出:一个控制组别灯的信号,一个数码管断选和一个位选信号。具体设计如下:四. 顶层及各自模块波形图仿真分析 1、 鉴别锁存模块波形设计:分析:开始时,group输出为00,当reset复位后,key2出现一低电平(第三组按下),group输出11,当接着key1按下,group输出不变,当再来了一个reset信号后,key又有效了,后面的也是如此。

    10、显然实现了抢答鉴别锁存功能。 2、 组别译码提醒模块:分析:当group由00变化到01,10,11,时显然light对应真值表变化。而Warn开始以时钟周期一半的频率变化,由于需要在50个时钟周期才能停止变化,所以为了更完整的验证light信号的变化,设计group的变化时没有根据实际时间来变化。 3、 计分模块:(1)分析:score表示分数百位和十位。开始时,初始分数为100分,当group为10时,right来了一个低脉冲后,score2变为了8h11;当group为01时,right来了一个低脉冲后,score1变为了8h11;当group为11时,wrong来了一个低脉冲后,sco

    11、re3变为8h09;再对其他分析,显然实现了功能。(2) 该模块调用的加分,扣分函数的测试波形及分分析Add:Reduce: 分析:这两个函数都用时钟信号(作为测试的辅助信号)对其测试,可以看到,add中实现了每次加1的功能,(由于百位和十位,相当于加了10),reduce实现了减一的功能。 4、 动态扫描模块: 分析:每来一个时钟信号,位选按次序变化一次,断选信号变化一次,对应于该位所显示的分数的数码管控制数值。比如:第三位对应于用于控制score2百位,被选中时,score3位8h30,显然对应断选输出8h06;其他位置可的同样验证。 5、次顶层模块 分析:复位信号后,当key0出现一个脉

    12、冲,light变为3h1. Warn开始以时钟频率的一半变化。显然实现了模块1和模块2的功能。 每来一个时钟信号,位选按次序变化一次,断选信号变化一次,对应于该位所显示的分数的数码管控制数值。开始时,由于每人开始都是100分,段选百位和十位应显示1和0,对应断选信号为7h01,7h4f;第八位用于显示抢答到的组数,当第八位位选打开时,对应断选输出为7h4f,恰好对应于所选组数第一组。 当来了一个right低脉冲后,位选第一位,第二位打开时(控制score1百位和十位),断选信号输出7h4f, 7h4f,对应于110分,显然实现了加分功能和动态扫描功能。 同样可以验证减分功能的真确性。五体会本次

    13、课程设计是一次对前段时间学习的考验与检测,通过这次对一个比较完整数字系统的设计,从最初的完全迷茫,到后来的题目选定,在到任务分配,与同学一起讨论,查阅资料,解决遇到的问题,我真真切切地感受到了设计中的快乐与辛酸。有时候遇到了一个很简单的错误,却要找很久才能解决。有时候甚至苦恼,发怒。但是最欣喜的时刻莫过于当绿色的波形在眼前出现的那刻,那是一份努力的结果,每每都让我感到了小小的兴奋。设计过程,我发现了很多以前没有注意到的问题,比如,同一个变量不能在两个always语句中进行赋值,尽管没有语法错误,但是在综合的时候会报错。再比如,所有的输入都应该是wire型变量,所以在例化时,中间变量都要设置成线

    14、网型的。再比如,在调用函数时,不能把输入直接作为参数传递。多少天的屏幕守候,多少个夜晚的挑灯夜战,当时间的记忆写满辛酸的汗水,我想我收获了艳阳下最丰硕的果实。六程序源码(1)抢答锁存鉴别模块module snatch(key,reset,group ); input2:0 key; input reset; output reg1:0 group; reg q; wire qiang,clk; assign qiang=(key0&key1&key2); assign clk=(qiang|q); always(negedge clk or negedge reset) if(!reset)

    15、begin q=0; end else q=!q; always(negedge clk) begin case(key) 3b110:group=2b01; 3b101:group=2b10; 3b011:group=2b11; default:group=2b00; endcase endendmodule(2) 组别译码提醒模块module warning(clk, group,light,warn ); input clk; input 1:0 group; output reg2:0 light; output reg warn; reg ena; reg5:0 cnt; initi

    16、al begin warn=1b1; cnt=6b0; end always(posedge clk) begin if(ena) begin cnt=cnt+1b1; if(cnt=6b1111111) ena=1b0; end end always(group) begin case(group) 2b00: light = 2b000; 2b01: light = 2b001; 2b10: light = 2b010; 2b11: light = 2b100; endcase if(group!=00) begin ena=1b1; end end always(cnt) warn=wa

    17、rn+1b1;endmodule(3)计分模块module cont(group,score1,score2,score3,right,wrong ); input right,wrong; input1:0 group; output reg7:0 score1,score2,score3; reg7:0 s1,s2,s3; initial begin s1=8b00010000; s2=8b00010000; s3=8b00010000; score1=8b00010000; score2=8b00010000; score3=8b00010000; end function7:0 add

    18、; input7:0 score_start; reg3:0 gao4,di4; begin gao4=score_start7:4; di4=score_start3:0+4b1; if(di4=4b1010) begin di4=4b0000; gao4=gao4+4b1; end add=gao4,di4; end endfunction function7:0 reduce; input7:0 score_start; reg3:0 gao4,di4; begin gao4=score_start7:4; di4=score_start3:0; if(di4=4b0) begin ga

    19、o4=gao4-4b1; di4=4b1001; end else di4=di4-4b1; reduce=gao4,di4; end endfunction always(negedge right,negedge wrong) begin if(right=0) begin if(group=2b01) s1=add(s1); if(group=2b10) s2=add(s2); if(group=2b11) s3=add(s3); score1=s1; score2=s2; score3=s3; end else begin if(group=2b10) s1=reduce(s1); i

    20、f(group=2b10) s2=reduce(s2); if(group=2b11) s3=reduce(s3); score1=s1; score2=s2; score3=s3; end endendmodule(4)动态扫描组别分数显示模块module scan_led(group,score1,score2,score3,clk,wei,duan); input 7:0score1,score2,score3; input clk; input1:0 group; output reg6:0 duan; output reg7:0 wei; reg2:0 cnt_scan; reg3:

    21、0 dataout; initial begin cnt_scan=16b0; end always(posedge clk) cnt_scan=cnt_scan+1b1; always(cnt_scan) begin case(cnt_scan) 3b000:wei=8b0000_0001; 3b001:wei=8b0000_0010; 3b010:wei=8b0000_0100; 3b011:wei=8b0000_1000; 3b100:wei=8b0001_0000; 3b101:wei=8b0010_0000; 3b110:wei=8b0100_0000; 3b111:wei=8b10

    22、00_0000; default:wei=8b0000_0001; endcase end always(wei) begin case(wei) 8b0000_0001:dataout=score17:4; 8b0000_0010:dataout=score13:0; 8b0000_0100:dataout=score27:4; 8b0000_1000:dataout=score23:0; 8b0001_0000:dataout=score37:4; 8b0010_0000:dataout=score33:0; 8b0100_0000:dataout=4b0; default:dataout=2b00,group; endcase end always(dataout) begin case(dataout) 4b0000:duan=7h01; 4b0001:duan=7h4f; 4b0010:duan=7h12; 4b11:duan=7h06; 4b100:duan=7h46; 4b101:duan=7h24; 4b110:duan=7h20; 4b111:duan=7h0f; 4b1000:duan=7h00; 4b1001:duan=7h04; default :duan=7h0; endcase endendmodule


    注意事项

    本文(fpga技术课程设计数字式竞赛抢答器终稿.docx)为本站会员主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

    copyright@ 2008-2023 冰点文库 网站版权所有

    经营许可证编号:鄂ICP备19020893号-2


    收起
    展开