欢迎来到冰点文库! | 帮助中心 分享价值,成长自我!
冰点文库
全部分类
  • 临时分类>
  • IT计算机>
  • 经管营销>
  • 医药卫生>
  • 自然科学>
  • 农林牧渔>
  • 人文社科>
  • 工程科技>
  • PPT模板>
  • 求职职场>
  • 解决方案>
  • 总结汇报>
  • ImageVerifierCode 换一换
    首页 冰点文库 > 资源分类 > DOCX文档下载
    分享到微信 分享到微博 分享到QQ空间

    EDA核心技术的概念及范畴.docx

    • 资源ID:9243843       资源大小:22.71KB        全文页数:7页
    • 资源格式: DOCX        下载积分:3金币
    快捷下载 游客一键下载
    账号登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录
    二维码
    微信扫一扫登录
    下载资源需要3金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP,免费下载
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    EDA核心技术的概念及范畴.docx

    1、EDA核心技术的概念及范畴EDA技术概念及范畴EDA技术是在电子CAD技术基本上发展起来计算器软件系统,是指以计算器为工作平台,融合了应用电子技术、计算器技术、信息解决及智能化技术最新成果,进行电子产品自动设计。运用EDA工具,电子设计师可以从概念、算法、合同等开始设计电子系统,大量工作可以通过计算器完毕,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图整个过程在计算器上自动解决完毕。当前对EDA概念或范畴用得很宽。涉及在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,均有EDA应用。当前EDA 技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机

    2、制造过程中,从设计、性能测试及特性分析直到飞行模仿,都也许涉及到EDA技术。本文所指EDA技术,重要针对电子电路设计、PCB设计和IC设计。EDA 设计可分为系统级、电路级和物理实现级。 EDA惯用软件 EDA工具层出不穷,目迈进入国内并具备广泛影响EDA软件有:EWB、PSPICE、OrCAD、PCAD、Protel、Viewlogic、Mentor、Graphics、Synopsys、LSIlogic、Cadence、MicroSim等等。这些工具均有较强功能,普通可用于几种方面,例如诸多软件都可以进行电路设计与仿真,同步以可以进行PCB自动布局布线,可输出各种网表文献与第三方软件接口。下

    3、面按重要功能或重要应用场合,分为电路设计与仿真工具、PCB设计软件、IC设计软件、PLD设计工具及其他EDA软件,进行简朴简介。 1、电子电路设计与仿真工具电子电路设计与仿真工具涉及SPICE/PSPICE;EWB;Matlab;SystemView;MMICAD等。下面简朴简介前三个软件。(1)SPICE(Simulation Program with Integrated Circuit Emphasis) 是由美国加州大学推出电路分析仿真软件,是20世纪80年代世界上应用最广电路设计软件,1998年被定为美国国标。1984年,美国MicroSim公司推出了基于SPICE微机版PSPICE

    4、(PersonalSPICE)。当前用得较多是PSPICE6.2,可以说在同类产品中,它是功能最为强大模仿和数字电路混合仿真EDA软件,在国内普遍使用。最新推出了PSPICE9.1版本。它可以进行各种各样电路仿真、勉励建立、温度与噪声分析、模仿控制、波形输出、数据输出、并在同一窗口内同步显示模仿与数字仿真成果。无论对哪种器件哪些电路进行仿真,都可以得到精准仿真成果,并可以自行建立元器件及元器件库。(2)EWB(Electronic Workbench)软件 是Interactive ImageTechnologies Ltd 在20世纪90年代初推出电路仿真软件。当前普遍使用是EWB5.2,相

    5、对于其他EDA软件,它是较小巧软件(只有16M)。但它对模数电路混合仿真功能却十分强大,几乎100%地仿真出真实电路成果,并且它在桌面上提供了万用表、示波器、信号发生器、扫频仪、逻辑分析仪、数字信号发生器、逻辑转换器和电压表、电流表等仪器仪表。它界面直观,易学易用。它诸多功能模仿了SPICE设计,但分析功能比PSPICE稍少某些。(3)MATLAB产品族 它们一大特性是有众多面向详细应用工具箱和仿真块,包括了完整函数集用来对图像信号解决、控制系统设计、神经网络等特殊应用进行分析和设计。它具备数据采集、报告生成和MATLAB语言编程产生独立C/C+代码等功能。MATLAB产品族具备下列功能:数据

    6、分析;数值和符号计算;工程与科学绘图;控制系统设计;数字图像信号解决;财务工程;建模、仿真、原型开发;应用开发;图形顾客界面设计等。MATLAB产品族被广泛地应用于信号与图像解决、控制系统设计、通讯系统仿真等诸多领域。开放式构造使MATLAB产品族很容易针对特定需求进行扩充,从而在不断深化对问题结识同步,提高自身竞争力。 2、PCB设计软件 PCB(PrintedCircuit Board)设计软件种类诸多,如 Protel; OrCAD;Viewlogic; PowerPCB; Cadence PSD;MentorGraphicesExpedition PCB;Zuken CadStart;

    7、 Winboard/Windraft/Ivex-SPICE;PCB Studio; TANGO等等。当前在国内用得最多应属Protel,下面仅对此软件作一简介。 Protel是PROTEL公司在20世纪80年代末推出CAD工具,是PCB设计者首选软件。它较早在国内使用,普及率最高,有些高校电路专业还专门开设Protel课程,几乎所在电路公司都要用到它。初期Protel重要作为印刷板自动布线工具使用,当前普遍使用是Protel99SE,它是个完整全方位电路设计系统,包括了电原理图绘制、模仿电路与数字电路混合信号仿真、多层印刷电路板设计(包括印刷电路板自动布局布线),可编程逻辑器件设计、图表生成、

    8、电路表格生成、支持宏操作等功能,并具备Client/Server(客户/服务器体系构造,同步还兼容某些其他设计软件文献格式,如ORCAD、PSPICE、EXCEL等。使用多层印制线路板自动布线,可实现高密度PCB100%布通率。Protel软件功能强大、界面和谐、使用以便,但它最具代表性是电路设计和PCB设计。 3、IC设计软件 IC设计工具诸多,其中按市场合占份额排行为Cadence、Mentor Graphics和Synopsys。这三家都是ASIC设计领域相称有名软件供货商。其他公司软件相对来说使用者较少。中华人民共和国华大公司也提供ASIC设计软件(熊猫);此外近来出名Avanti公司

    9、,是本来在Cadence几种华人工程师创立,她们设计工具可以全面和Cadence公司工具相抗衡,非常合用于深亚微米IC设计。下出按用途对IC设计软件作某些简介。(1)设计输入工具 这是任何一种EDA软件必要具备基本功能。像Cadencecomposer,viewlogicviewdraw,硬件描述语言VHDL、Verilog HDL是重要设计语言,许多设计输 入工具都支持HDL。此外像ActiveHDL和其他设计输入办法,涉及原理和状态机输入办法,设计FPGA/CPLD工具大都可作为IC设计输入手段,如Xilinx、Altera等公司提供开发工具,Modelsim FPGA等。(2)设计仿真工

    10、作 咱们使用EDA工具一种最大好处是可以验证设计与否对的,几乎每个公司EDA 产品均有仿真工具。VerilogXL、NCverilog用于Verilog仿真,Leapfrog用于VHDL仿真,Analog Artist用于模仿电路仿真。Viewlogic仿真器有:viewsim门级电路仿真器,speedwaveVHDL仿真器,VCSverilog仿真器。Mentor Graphics有其子公司Model Tech 出品VHDL和Verilog双仿真器:Model Sim。Cadence、Synopsys用是VSS(VHDL仿真器)。当前趋势是各大EDA公司都逐渐用HDL仿真器作为电路验证工具。

    11、(3)综合工具 综合工具可以把HDL变成门级网表。这方面Synopsys工具占有较大优势,它Design Compile是作综合工业原则,它尚有此外一种产品叫Behavior Compiler,可以提供更高档综合。此外近来美国又出了一家软件叫Ambit,说是比Synopsys软件更有效,可以综合50万门电路,速度更快。今年初Ambit被Cadence公司收购,为此Cadence放弃了它本来综合软件Synergy。随着FPGA设计规模越来越大,各EDA公司又开发了用于FPGA设计综合软件,比较有名有:SynopsysFPGA Express,CadenceSynplity,MentorLeona

    12、rdo,这三家FPGA综合软件占了市场绝大某些。(4)布局和布线 在IC设计布局布线工具中,Cadence软件是比较强,它有诸多产品,用于原则单元、门数组已可实现交互布线。最有名是Cadence spectra,它本来是用于PCB布线,日后Cadence把它用来作IC布线。其重要工具备:Cell3,Silicon Ensemble原则单元布线器;Gate Ensemble门数组布线器;Design Planner布局工具。其他各EDA软件开发公司也提供各自布局布线工具。(5)物理验证工具 物理验证工具涉及版图设计工具、版图验证工具、版图提取工具等等。这方面Cadence也是很强,其Dracul

    13、a、Virtuso、Vampire等物理工具备诸多使用者。(6)模仿电路仿真器 前面讲仿真器重要是针对数字电路,对于模仿电路仿真工具,普遍使用SPICE,这是唯一选取。只但是是选取不同公司SPICE,像MiceoSimPSPICE、Meta SoftHSPICE等等。HSPICE当前被Avanti公司收购了。在众多SPICE中,最佳最准当数HSPICE,作为IC设计,它模型最多,仿真精度也最高。 4、PLD设计工具 PLD(Programmable Logic Device)是一种由顾客依照需要而自行构造逻辑功能数字集成电路。当前重要有两大类型:CPLD(Complex PLD)和FPGA(F

    14、ield Programmable Gate Array)。它们基本设计办法是借助于EDA软件,用原理图、状态机、布尔表达式、硬件描述语言等办法,生成相应目的文献,最后用编程器或下载电缆,由目的器件实现。生产PLD厂家诸多,但最有代表性PLD厂家为Altera、Xilinx和Lattice 公司。 PLD开发工具普通由器件生产厂家提供,但随着器件规模不断增长,软件复杂性也随之提高,当前由专门软件公司与器件生产厂家合伙,推出功能强大设计软件。下面简介重要器件生产厂家和开发工具。(1)ALTERA 20世纪90年代后来发展不久。重要产品有:MAX3000/7000、FELX6K/10K、APEX2

    15、0K、ACEX1K、Stratix等。其开发工具MAX+PLUS II是较成功PLD开发平台,最新又推出了Quartus II开发软件。Altera公司提供较多形式设计输入手段,绑定第三方VHDL综合工具,如:综合软件FPGA Express、Leonard Spectrum,仿真软件ModelSim。(2)ILINX FPGA创造者。产品种类较全,重要有;XC9500/4000、Coolrunner(XPLA3)、Spartan、Vertex等系列,其最大VertexII Pro器件已达到800万门。开发软件为Foundation和ISE。普通来说,在欧洲用Xilinx人多,在日本和亚太地区

    16、用ALTERA人多,在美国则是平分秋色。全球PLD/FPGA产品60%以上是由Altera和Xilinx提供。可以讲Altera和Xilinx共同决定了PLD技术发展方向。(3)LatticeVantis Lattice是ISP(InSystem Programmability)技术创造者,ISP技术极大地增进了PLD产品发展,与ALTERA和XILINX相比,其开发工具比Altera和Xilinx略逊一筹。中小规模PLD比较有特色,大规模PLD竞争力还不够强(Lattice没有基于查找表技术大规模FPGA),1999年推出可编程模仿器件,1999年收购Vantis(原AMD子公司),成为第三

    17、大可编程逻辑器件供货商。12月收购Agere公司(原Lucent微电子部)FPGA部门。重要产品有ispLSI/5000/8000,MACH4/5。(4)ACTEL 反熔丝(一次性烧写)PLD领导得,由于反熔丝PLD抗辐射、耐高低温、功耗低、速度快,因此在军品和宇航级上有较大优势。ALTERA和XILINX则普通不涉足军品和宇航级市场。(5)Quicklogic专业PLD/FPGA公司,以一次性反熔丝工艺为主,在中华人民共和国地区销售量不大。(6)Lucent 重要特点是有不少用于通讯领域专用IP核,但PLD/FPGA不是Lucent重要业务,在中华人民共和国地区使用人很少。(7)ATMEL

    18、中小规模PLD做得不错。ATMEL也做了某些与Altera和Xilinx兼容片子,但在质量上与原厂家还是有某些差距,在高可*性产品中使用较少,多用在低端产品上。(8)Clear Logic 生产与某些知名PLD/FPGA大公司兼容芯片,这种芯片可将顾客设计一次性固化,不可编程,批量生产时成本较低。(9)WSI 生产PSD(单片机可编程外围芯片)产品。这是一种特殊PLD,如最新PSD8xx、PSD9xx集成了PLD、EPROM、Flash,并支持ISP(在线编程),集成度高,重要用于配合单片机工作。 PLD(可编程逻辑器件)是一种可以完全代替74系列及GAL、PLA新型电路 ,只要有数字电路基本

    19、,会使用计算器,就可以进行PLD开发。PLD在线编程能力和强大开发软件,使工程师可以在几天,甚至几分锺内就可完毕以往几周才干完毕工作,并可将数百万门复杂设计集成在一颗 芯片内。PLD技术在发达国家已成为电子工程师必备技术。 5、其他EDA软件(1)VHDL语言 超高速集成电路硬件描述语言(VHSIC Hardware Deseription Languagt,简称VHDL),是IEEE一项原则设计语言。它源于美国国防部提出超高速集成电路(Very High Speed Integrated Circuit,简称VHSIC)筹划,是ASIC设计和PLD设计一种重要输入工具。(2)Veriolg

    20、HDL 是Verilog公司推出硬件描述语言,在ASIC设计方面与VHDL语言平分秋色。(3)其他EDA软件如专门用于微波电路设计和电力载波工具、PCB制作和工艺流程控制等领域工具,在此就不作简介了。 EDA应用 EDA在教学、科研、产品设计与制造等各方面都发挥着巨大作用。在教学方面,几乎所有理工科(特别是电子信息)类高校都开设了EDA课程。重要是让学生理解EDA基本概念和基本原理、掌握用HDL语言编写规范、掌握逻辑综合理论和算法、使用EDA工具进行电子电路课程实验并从事简朴系统设计。普通学习电路仿真工具(如EWB、PSPICE)和PLD开发工具(如Altera/Xilinx器件构造及开发系统

    21、),为此后工作打下基本。科研方面重要运用电路仿真工具(EWB或PSPICE)进行电路设计与仿真;运用虚拟仪器进行产品测试;将CPLD/FPGA器件实际应用到仪器设备中;从事PCB设计和ASIC设计等。在产品设计与制造方面,涉及前期计算器仿真,产品开发中EDA工具应用、系统级模仿及测试环境仿真,生产流水线EDA技术应用、产品测试等各个环节。如PCB制作、电子设备研制与生产、电路板焊接、ASIC流片过程等。从应用领域来看,EDA技术已经渗入到各行各业,如上文所说,涉及在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,均有EDA有应用。此外,EDA软件功能日益强大,本来功能比较单

    22、一软件,当前增长了诸多新用途。如AutoCAD软件可用于机械及建筑设计,也扩展到建筑装璜及各类效果图,汽车和飞机模型、电影特技等领域。 EDA技术发展趋势 从当前EDA技术来看,其发展趋势是政府注重、使用普及、应用文泛、工具多样、软件功能强大。中华人民共和国EDA市场已渐趋成熟,但是大某些设计工程师面向是PC主板和小型ASIC领域,仅有小某些(约11%)设计人员工发复杂片上系统器件。为了与台湾和美国设计工程师形成更有力竞争,中华人民共和国设计队伍有必要购入某些最新EDA技术。在信息通信领域,要优先发展高速宽带信息网、深亚微米集成电路、新型元器件、计算器及软件技术、第三代移动通信技术、信息管理、

    23、信息安全技术,积极开拓以数字技术、网络技术为基本新一代信息产品,发展新兴产业,哺育新经济增长点。要大力推动制造业信息化,积极开展计算器辅助设计(CAD)、计算器辅助工程(CAE)、计算器辅助工艺(CAPP)、计算器机辅助制造(CAM)、产品数据管理(PDM)、制造资源筹划(MRPII)及公司资源管理(ERP)等。有条件公司可开展“网络制造”,便于合伙设计、合伙制造,参加国内和国际竞争。开展“数控化”工程和“数字化”工程。自动化仪表技术发展趋势测试技术、控制技术与计算器技术、通信技术进一步融合,形成测量、控制、通信与计算器(M3C)构造。在ASIC和PLD设计方面,向超高速、高密度、低功耗、低电

    24、压方向发展。外设技术与EDA工程相结合市场前景看好,如组合超大屏幕有关连接,多屏幕技术也有所发展。中华人民共和国自1995年以来加速开发半导体产业,先后建立了几所设计中心,推动系列设计活动以应对亚太地区其他EDA市场竞争。在EDA软件开发方面,当前重要集中在美国。但各国也正在努力开发相应工具。日本、韩国均有ASIC设计工具,但不对外开放 。中华人民共和国华大集成电路设计中心,也提供IC设计软件,但性能不是很强。相信在不久将来会有更多更好设计工具备各地开花并成果。据最新记录显示,中华人民共和国和印度正在成为电子设计自动化领域发展最快两个市场,年复合增长率分别达到了50%和30%。 EDA技术发展迅猛,完全可以用日新月异来描述。EDA技术应用广泛,当前已涉及到各行各业。EDA水平不断提高,设计工具趋于完美地步。EDA市场日趋成熟,但国内研发水平沿很有限,需迎头赶上。


    注意事项

    本文(EDA核心技术的概念及范畴.docx)为本站会员主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

    copyright@ 2008-2023 冰点文库 网站版权所有

    经营许可证编号:鄂ICP备19020893号-2


    收起
    展开