欢迎来到冰点文库! | 帮助中心 分享价值,成长自我!
冰点文库
全部分类
  • 临时分类>
  • IT计算机>
  • 经管营销>
  • 医药卫生>
  • 自然科学>
  • 农林牧渔>
  • 人文社科>
  • 工程科技>
  • PPT模板>
  • 求职职场>
  • 解决方案>
  • 总结汇报>
  • ImageVerifierCode 换一换
    首页 冰点文库 > 资源分类 > DOCX文档下载
    分享到微信 分享到微博 分享到QQ空间

    基于FPGA可逆步进直流稳压电源设计与制作.docx

    • 资源ID:8870136       资源大小:126.28KB        全文页数:13页
    • 资源格式: DOCX        下载积分:3金币
    快捷下载 游客一键下载
    账号登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录
    二维码
    微信扫一扫登录
    下载资源需要3金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP,免费下载
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    基于FPGA可逆步进直流稳压电源设计与制作.docx

    1、基于FPGA可逆步进直流稳压电源设计与制作基于FPGA可逆步进直流稳压电源设计与制作系别:电气工程学院 制作人:李学武 指导老师:付喜锦摘要:采用可调式三端稳压器LM317和FPGA应用技术,设计制作了一种程控可调稳压电源。其主要有控制模块,电源模块,校准和显示模块。电路简单且稳定,成本低,经济可靠。关键字:数码显示 LM317 FPGA 目录1.系统方案论证 31.1控制模块 31.3可调稳压模块 41.4键盘控制与数码显示模块 41.5校准模块 42.理论分析与计算 52.1输出电压 52.2步进设定 53.电路与程序设计 64.系统测试 75.总结 71.系统方案论证 设计制作在1.5V

    2、到15V之间可调,可按键可控,并送数码管显示,由于FPGA较单片机使用方便,而且可以直接利用编程实现D/A和A/D转换,所以这里用FPGA作为主控芯片,由两个按键控制整数部分的加减,另由两个按键控制一位小数部分的加减,由8个数码管作为显示。系统框图如1.1所示。1.1控制模块 FPGA采用了逻辑单元阵列,内部包括可配置逻辑模块、输出输入模块IO和内部连线三个部分。FPGA的逻辑是通过向内部静态存储单元加载编程数据来实现的,存储在存储器单元中的值决定了逻辑单元的逻辑功能以及各模块之间或模块与I/O间的联接方式,并最终决定了FPGA所能实现的功能, FPGA允许无限次的编程。本设计中利用VHDL语

    3、言实现D/A和A/D转换,故方案中就不需要再扩展D/A和A/D转换器件,使系统变得加简单。1.2电源模块目前降压电源主要由变压器降压和电容降压,因考虑整个电路工作效率和工作的安全性,我们采用输出交流18V的变压器降压,而且还能将直流电源和交流电网隔离,工作稳定。整流电路主要作用是把经过变压器降压后的交流电变成直流电。常见的整流电路主要有全波整流电路、桥式整流电路、倍压整流电路。本设计选用单相桥式整流电路实现整流功能,选取器材为U8KBA80桥堆。滤波电路是将整流后得到直流电中的杂波过滤,因为整流后的电流不稳定,幅值周期性变化会影响整个电路的工作,所以用电容滤波得到稳定的直流电。原理图如图1.2

    4、所示。1.3可调稳压模块可调稳压模块主要由LM317来实现, 317系列稳压块的型号很多:例如LM317HVH、W317L等。LM317是一种使用方便、应用广泛的集成稳压块。我们选用LM317T可调稳压集成电路,原理图1.3如下所示:通过调节RP的阻值大小实现输出电压可调的稳压电源。1.4键盘控制与数码显示模块 控制键盘工作,确定电流步进调整。FPGA的KEY_HANG3.0行信号输出端不停地循环“1110”、“1101”、“1011”、“0111”。当没有按键按下时,可编程器件的KEY_LIE3.0列信号输入端检测到的是“1111”。当有按键按下时,例如按下1键,此时输入端KEY_HANG3

    5、.0行信号输出为“0111”,即KEY_HANG3.0的3管脚为“0”,由电路可以看出,此时输入端KEY_LIE3.0检测到的将是“0111”,KEY_LIE3.0的3管脚为“0”。在编写程序时,可以将输出的信号KEY_HANG3.0与输入的信号KEY_LIE3.0同时判断,像刚才举的例子,可以认为当数据“key_hang&key_lie”为“01110111”时,可以译成数据1。同理可得其他按键的编码。 本功能由十进制计数器(LS192)、二-十进制译码器(DECODER-410)、数码显示译码器(DISP)实现。利用十进制计数器(LS192)实现清零加减置数功能。二-十进制译码器(DECO

    6、DER-410)将输入BCD码的十个代码译成十个高低电平,控制开关三极管2N5551的导通与截止改变三端稳压器LM317控制端电阻的大小实现输出电压可调功能。数码显示译码器(DISP)控制实现十个档位的数码管显示。四个数码管分别显示两位证书部分及一位位小数部分,第一个可以用来显示电压的正负,这里主要是正电压故也可以不用,FPGA对数码管和发光管采用动态扫描,扫描频率的大小保证不出现抖动。1.5校准模块在没有误差的情况下,当模块量A为0V时,通过数码管显示的也应该是零,并且模拟量为任意值时输出显示都应该一致,但系统总是存在一定的误差的,故当显示与实际显示数值总存在一个差值,例如当模拟量时,输出本

    7、来应该为时,却为,则,若误差固定,则每次输出前都将值减去次固定误差再送显示,即当D/A输出为某一定值时,记录这个确定值,再从A/D转换中读入数据,将两次所得的数据相减,保留差值,从而将以后每次读得的A/D数据减去这个固定值,将所得数据显示。这就是校准的基本思想,具体操作可以在软件设计中用程序来实现。2.理论分析与计算2.1输出电压因为要求输出电压可调,所以选择三端可调式集成稳压器。LM317系列三端集成稳压器,其输出电压调节范围可达1.537V,输出电流可达1.5A以上,内部带有过载保护电路,具有稳压精度高、工作可靠等特点。由于LM317的2、3脚之间的电压U32为一稳定的基准电压1.25V,

    8、故有:式中,1.25V是集成稳压输出端与调整端之间的固定参考电压UREF;R1取240,调节RP可改变输出电压大小(RP取值视RL和输出电压的大小而确定)。2.2步进设定按照设计要求,输出步进为1.5即,由公式(式中RW=Ri+R1)当Ri=0时可得出:R1=48。将R1=48代入下式计算得=288。通过调节电阻Ri而使LM317稳压块空载时输出的电流大于或等于其最小稳定工作电流,从而保证LM317稳压块在空载时能够稳定地工作。此时,只要保证Vo/(R1+R2)1.5mA,就可以保证LM317稳压块在空载时能够稳定地工作。上式中的1.5mA为317稳压块的最小稳定工作电流。当然,只要能保证LM

    9、317稳压块在空载时能够稳定地工作,Vo/(R1+R2)的值也可以设定为大于1.5mA的任意值。可以通过设定R1和R2阻值的大小,本设计的核心是利用可调电阻网络代替R2,其电阻网络如图2.1所示。3.电路与程序设计3.1程序设计数控式可逆步进调压直流稳压程序设计由三部分组成:十进制计数器(LS192);二-十进制译码器(DECODER-410);码显示译码器(DISP)实现。如图3.1所示。十进制计数器(LS192):实现清零、加、减、置数。RES高电位清零;ADD是计数脉冲,A=1时ADD是加计数脉冲,A=0时ADD是减数计数脉冲;SET是置数控制端,SET=1时置数,将输入CIN30送到输

    10、出COUT30。因此,ADD计数脉冲可以控制档位的增减,CIN30可以直接控制档位,CIN30是几,档位就是几。二-十进制译码器(DECODER-410):是将输入BCD码的10个代码译成10个高、低电平,控制三极管的导通,得到10个档的直流电压。3.2电路设计 本电路采用三端集成稳压电路方案,电路分为压降电路、整流电路、滤波电路和稳压电路四大部分。当电路输入220V交流电时经变压器降压然后整流得到20 V直流电,再用电容滤波用LM317稳压后输出,稳压输出电压通过FPGA控制三极管Q0Q9通断改变接入电阻大小实现可调功能,电路原理图如图3.2所示。4.系统测试测试仪器:数字万用表、滑线变阻器

    11、(200)、电流表(量程为10A)、FPGA开发板。测试步骤:1.FPGA开发板测试:连接电源线,分别测试加减计数、置数和清零功能。2.输出电压测试:接入负载R=510,用键盘控制10个档位逐次测量输出电压,重复测量三次求出平均值并记录,测试结果如表4-1所示。表4-1输出电压测试表档位12345678910理论电压(V)1.503.004.506.007.509.0010.5012.0013.5015.00数码管显示电压(V)1.503.014.526.027.539.0110.5312.0513.5415.03误差0.00.33%0.44%0.33%0.40%0.11%0.28%0.42%

    12、0.29%0.20%3.校准测试:将第2步得到的步进相减,差值即为校准固定值。若这个固定值不变说明校准成功。2带负载能力测试: 用200滑线变阻器替换510电阻,使用10档输出15V电压为基准电压,改变滑线变阻器阻值(20050)测量输出电压,测试结果如表421所示。表4-2带负载能力测试表负载()20017515012512010585706550输出电压(V)15.0315.0014.9814.9414.9514.9214.9014.9014.8914.885.总结本系统以LM317为核心,优点在于利用FPGA控制使用方便,电路大部分器件价格便宜实惠。利用FPGA控制三极管通断改变接入电阻

    13、阻值大小实现十个档位输出电压可调,设置电压为1.5V的步进,输出电压范围1.515V可调,还有清零、加、减、置数。经测试输出直流电压和步进值基本达到题目要求。但是该电源带负载能力差,当负载阻值很小时,输出电压变化较大,分析误差主要是由变压器功率低造成的,由于条件限制没有大功率变压器,所以为了提高电路稳定输出可选用大功率、高稳定性的变压器。该装置电路结构简单,程序要求低,操作简单、方便和直观。参考文献1周雪.电子技术基础.北京电子工业出版社.2004.2郑应光.模拟电子线路(一).南京东南大学出版社.2004.3周良权.模拟电子技术基础.北京高等教育出版社.2001.4骆雅琴.电子技术辅导与实习

    14、教程.合肥中国科学技术大学出版社.2004.5王增福、魏永明.线性直流稳压电源.北京电子工业大学出版设.2005附录程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity ls192 isport(res,add,set,a:in std_logic; cin:in std_logic_vector(3 downto 0); cout:out std_logic_vector(3 downto 0);end entity ls192;architecture rtl of ls192

    15、is signal q:std_logic_vector(3 downto 0);beginprocess(res,add)beginif res=0then q=0000;elsif set=1 then q=cin;elsif (addevent and add=1)then if a=1 then if(q=9)then q=1001; else q=q+1; end if; elsif a=0 then if(q=0)then q=0000; else q=q-1; end if;end if;end if;end process;coutqqqqqqqqqqqoooooooooores,add=add,set=set,a=a,cin=cin,cout=m);u2:decoder_410 port map (d=m,q=q);u3:disp port map (d=m,o=o);end one;


    注意事项

    本文(基于FPGA可逆步进直流稳压电源设计与制作.docx)为本站会员主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

    copyright@ 2008-2023 冰点文库 网站版权所有

    经营许可证编号:鄂ICP备19020893号-2


    收起
    展开