欢迎来到冰点文库! | 帮助中心 分享价值,成长自我!
冰点文库
全部分类
  • 临时分类>
  • IT计算机>
  • 经管营销>
  • 医药卫生>
  • 自然科学>
  • 农林牧渔>
  • 人文社科>
  • 工程科技>
  • PPT模板>
  • 求职职场>
  • 解决方案>
  • 总结汇报>
  • ImageVerifierCode 换一换
    首页 冰点文库 > 资源分类 > DOCX文档下载
    分享到微信 分享到微博 分享到QQ空间

    现代电子系统设计汽车尾灯设计Word文件下载.docx

    • 资源ID:8379092       资源大小:170.95KB        全文页数:9页
    • 资源格式: DOCX        下载积分:3金币
    快捷下载 游客一键下载
    账号登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录
    二维码
    微信扫一扫登录
    下载资源需要3金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP,免费下载
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    现代电子系统设计汽车尾灯设计Word文件下载.docx

    1、系统实现采用硬件描述语言VHDL把系统电路按模块化方式进行设计,然后进行编译、时序仿真等。三:实验仪器PC机一台;电子综合设计实验箱一套。目录方案设计与论证.(1) 1:方案一. 32:方案二.43:方案比较.54:方案二的工作原理.5仿真与测试分析(5)设计总结.(6)四:附件.(7)1:方案设计与论证方案一根据方案所有的功能,将整个工程分成两个模块,一个模块为分频模块,将50M频率进行8分频。以使指示灯进行适当的闪烁。另一个模块是主要功能实现模块,包括实现出现刹车、右转、左转,夜行等各种情况下指示灯的显示情况。系统框图: 方案二根据方案的功能要求,将整个工程分成四个模块,其中两个模块也是分

    2、频模块和尾灯主模块,还有左边灯控制模块和右边灯控制模块来分别控制左右的指示灯。其系统框图如图所示:方案比较方案一和二所实现的功能是一样的,但是方案一是具有两个模块,方案二是将其中的主模块分成了三个模块来实现其功能,设计起来逻辑性强,思路清晰,也便于扩展和完善,因此在实现相同功能的情况下,理所当然应该选择简单明了的方案二。方案二的工作原理汽车尾灯控制器就是一个状态机的实例。当汽车正常行驶时所有指示灯都不亮;当汽车向左转弯时,汽车左侧的指示灯left0,left1,left2依次点亮;当汽车向右侧转弯时,汽车右侧的指示灯right0,right1,right2依次点亮;当汽车刹车时,汽车左侧的指示

    3、灯left3和汽车右侧的指示灯Lright3同时亮;当汽车在夜间行驶时,汽车所有指示灯全部亮。通过设置系统的输入信号:系统时钟信号clk,汽车左转弯控制信号left,汽车右转弯控制信号right,刹车信号brake,夜间行驶信号night和系统的输出信号:汽车左侧4盏指示灯left0,left1,left2,left3和汽车右侧4盏指示灯right0,right1,right2,right3实现以上功能。各组成模块原理图及程序详见附件。 2: 仿真与测试分析根据设计电路图及设计程序,经仿真得到如下的仿真图。因空间有限,以brake,left,right控制信号为例:3:设计总结本次实验利用VH

    4、DL程序来设计出控制汽车尾灯各底层模块,再进一步生成顶层原理图。通过这次的实验过程,从选择这个课题到后来设计运行这个程序,其中遇到故很多问题,很多困难。在尾灯主模块中,利用最基本的VHDL语言来设计,其中最需要注意的是brake、left、right、night四个功能的设计顺序,要合理安排,才能使得符合功能要求,更符合实际情况。整个过程,曾尝试过很多方法,包括用更多的模块来设计程序,但最终选择了用这种方案是因为程序简单明了,但又不会缺少任何功能显示。在书上所提出的几个功能中,为了更符合实际情况和更好的完善这个实验,又完善了转弯时尾灯依次点亮,不断闪烁的功能;刹车时采用与转弯时不同的灯来显示,

    5、便于区分等功能。由于在实验箱上正好四个开关,所以可进行适当的引脚分配,通过控制开关的on或off来显示实验结果。最后,运行成功,得到了想要的汽车尾灯显示结果。4.附件原理图2分频模块的VHDL语言程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity ck is port(clk:in std_logic; cp:out std_logic); end ck;architecture behave of ck issignal counter:std_logic_vector(7 dow

    6、nto 0);begin process(clk) begin if clkevent and clk=1 then counter=counter+1; end if; end process; cp=counter(3); end behave;3.尾灯主模块的语言程序entity control is port(leftin,rightin,brake,night: leften,righten,lr,led3,rled3: end control;architecture behave of control issignal temp:std_logic_vector(1 downto

    7、 0);process(leftin,rightin)if brake= leften=0; righten lr led3 rled3 else temp=leftin & rightin; if temp=0110 else if night=end behave;4左边灯控制模块entity leftp is port(leften,clk,lr: led2,led1,led0: end leftp;architecture behave of leftp isstd_logic_vector(2 downto 0); process(leften,clk,lr) if(clk)then if lr= if leften=000 if(temp=001=temp(1 downto 0) & 111 led2=temp(2); led1=temp(1); led0=temp(0);5.右边灯控制模块entity rightp is port(righten,clk,lr: rled2,rled1,rled0: end rightp;architecture behave of rightp is process(righten,clk,lr) if righten=100 & temp(2 downto 1); rled2 rled1 rled0


    注意事项

    本文(现代电子系统设计汽车尾灯设计Word文件下载.docx)为本站会员主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

    copyright@ 2008-2023 冰点文库 网站版权所有

    经营许可证编号:鄂ICP备19020893号-2


    收起
    展开