欢迎来到冰点文库! | 帮助中心 分享价值,成长自我!
冰点文库
全部分类
  • 临时分类>
  • IT计算机>
  • 经管营销>
  • 医药卫生>
  • 自然科学>
  • 农林牧渔>
  • 人文社科>
  • 工程科技>
  • PPT模板>
  • 求职职场>
  • 解决方案>
  • 总结汇报>
  • ImageVerifierCode 换一换
    首页 冰点文库 > 资源分类 > DOCX文档下载
    分享到微信 分享到微博 分享到QQ空间

    数字电路课程设计VHDL语言文本输入设计.docx

    • 资源ID:8007867       资源大小:347.71KB        全文页数:15页
    • 资源格式: DOCX        下载积分:3金币
    快捷下载 游客一键下载
    账号登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录
    二维码
    微信扫一扫登录
    下载资源需要3金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP,免费下载
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    数字电路课程设计VHDL语言文本输入设计.docx

    1、数字电路课程设计VHDL语言文本输入设计石家庄铁道大学数字电路课程设计VHDL语言文本输入设计汽车尾灯学院:信息科学与技术学院班级:计 08052班数字电路课程设计:VHDL语言文本输入设计汽车尾灯控制电路一、需求分析1、功能描述设计一个汽车尾灯控制电路,汽车尾部共有六盏指示灯,左右各三盏,分别为LD1、LD2、LD3,RD1、RD2、RD3。具体能能如下:1.1 汽车正常行驶时,所有指示灯都不亮;1.2 汽车左转时L1闪烁;1.3 汽车右转时R1闪烁;1.4 汽车刹车时L2和R2同时亮;1.5 汽车夜间行驶时L3和R3同时亮。2、实现工具2.1 用VHDL 语言文本形式输入;2.2 使用MA

    2、X+plux II 进行语言编写、时序仿真和综合。二、设计思想采用自顶向下的设计方式,分层进行设计。设计分为四个模块进行:主控模块、定时器模块、左侧尾灯控制模块和右侧尾灯控制模块。顶层设计可完全独立于目标器件芯片物理结构的硬件描述语言。使用VHDL模型在所有综合级别上对硬件设计进行说明、建模和仿真测试。1、顶层原理框图2、具体功能实现2.1 主控模块图2:主控模块框图2.2 时钟定时器模块只有LD1和RD1有闪烁功能,因此定时器模块只控制这两个尾灯。框图如下:2.3 左转尾灯和右转尾灯功能图4:转向功能框图2.4 刹车功能刹车功能对应的尾灯为LD2和RD2,框图如下:2.5夜间行驶功能夜间行驶

    3、功能对应的尾灯为LD3和RD3,框图如下:图6:夜间行驶功能框图三、设计过程1、建立工程建立个一个Project,命名为weideng。将各个模块生成的文件放在同一个文件夹下。2、文本输入将各个模块的VHDL代码输入、保存并综合。3、仿真建立各个模块的gdf图,设置输入波形并仿真。4、顶层原理图输入 利用各个模块生成的sym文件建立顶层原理图。编译并仿真。5、硬件实现 实验室提供的器件为ACEX系列,型号为EP1K100QC2083。将dingceng.sof文件下载到器件当中,在实验箱中进行硬件模拟。四、VHDL代码及说明1、主控模块CTLR1.1 VHDL代码LIBRARY IEEE;US

    4、E IEEE.STD_LOGIC_1164.ALL;ENTITY CTRL IS PORT(LEFT,RIGHT,BRAKE,NIGHT: IN STD_LOGIC; LP,RP,LR,BRAKE_LED,NIGHT_LED: OUT STD_LOGIC);END ENTITY CTRL;ARCHITECTURE ART OF CTRL IS BEGIN NIGHT_LED=NIGHT; BRAKE_LEDLP=0;RP=0;LRLP=0;RP=1;LRLP=1;RP=0;LRLP=0;RP=0;LR=1; END CASE; END PROCESS;END ARCHITECTURE ART;

    5、1.2 说明输入RIGHT:右转信号;LEFT:左转信号;BRAKE:刹车信号;NIGHT:夜间行驶信号;输出LP:左侧灯控制信号;RP:右侧灯控制信号;LR:错误控制信号;BRAKE_LED:刹车控制信号;NIGHT_LED:夜间行驶控制信号1.3 sym图2、定时器模块2.1 VHDL代码LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY SZ IS PORT(CLK: IN STD_LOGIC; CP: OUT STD_LOGIC);END ENTITY SZ;ARCHITECTU

    6、RE ART OF SZ IS SIGNAL COUNT:STD_LOGIC_VECTOR(7 DOWNTO 0); BEGIN PROCESS(CLK) BEGIN IF CLKEVENT AND CLK=1 THEN COUNT=COUNT+1; END IF; END PROCESS; CP=COUNT(3);END ARCHITECTURE ART;2.2 说明输入:CLK:时钟输入信号;输出: CP:尾灯闪烁触发信号。2.3 sym图3、左侧尾灯控制模块3.1 VHDL代码LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY LC IS PO

    7、RT(CLK,LP,LR,BRAKE,NIGHT: IN STD_LOGIC; LEDL,LEDB,LEDN: OUT STD_LOGIC);END ENTITY LC;ARCHITECTURE ART OF LC IS BEGIN LEDB=BRAKE; LEDN=NIGHT; PROCESS(CLK,LP,LR) BEGIN IF CLKEVENT AND CLK=1 THEN IF(LR=0) THEN IF(LP=0) THEN LEDL=0; ELSE LEDL=1; END IF; ELSE LEDL=0; END IF; END IF; END PROCESS;END ARCHI

    8、TECTURE ART;3.2 说明输入: CLK:时钟控制信号;LP:左侧灯控制信号;LR:错误控制信号;BRAKE:刹车控制信号;NIGHT:夜间行驶控制信号;输出:LEDL:左侧LD1灯控制信号;LEDB:左侧LD2灯控制信号;LEDN:左侧LD3灯控制信号;3.3 sym图4、右侧尾灯控制模块4.1 VHDL代码LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY RC IS PORT(CLK,RP,LR,BRAKE,NIGHT: IN STD_LOGIC; LEDR,LEDB,LEDN: OUT STD_LOGIC);END ENTITY R

    9、C;ARCHITECTURE ART OF RC IS BEGIN LEDB=BRAKE; LEDN=NIGHT; PROCESS(CLK,RP,LR) BEGIN IF CLKEVENT AND CLK=1 THEN IF(LR=0) THEN IF(RP=0) THEN LEDR=0; ELSE LEDR=1; END IF; ELSE LEDR=0; END IF; END IF; END PROCESS;END ARCHITECTURE ART;4.2 说明输入: CLK:时钟控制信号;RP:右侧灯控制信号;LR:错误控制信号;BRAKE:刹车控制信号;NIGHT:夜间行驶控制信号;输

    10、出:LEDR:右侧RD1灯控制信号;LEDB:右侧RD2灯控制信号;LEDN:右侧RD3灯控制信号;4.3 sym图五、顶层原理图六、各模块仿真结果1、主控模块2、定时器模块3、左侧尾灯控制模块4、右侧尾灯控制模块5、顶层模块七、管脚锁定及硬件实现1、管脚锁定打开File菜单中的Floorplan Eeitor,用鼠标就各输入输出各相应管脚上进行锁定。如图:2、文件下载实验室提供的器件为ACEX系列,型号为EP1K100QC2083。在Assign菜单Device项中选择些器件。在MAX+plux II菜单中选择Programmer项,在Options菜单中选择Hardware Setup项,

    11、选择BitBlaster串口接入方式。如图:3、硬件实现将dingceng.sof文件下载完成后在硬件实验箱中进行仿真检查。八、总结1、过程问题及改正经过几天的学习和上机,完成了文本输入形式设计汽车尾灯控制电路。在设计过程中出现了诸多问题。首先是对VHDL语言接触的时间短,小学期时间短,做课程设计感觉很仓促,没有过多的时间去系统的学习这门课程。不像做C语言课程设计时是先经过了一个学期的学习再做的,那样感觉做起来能从最根本的原理入手,再逐步提高,收获也较多。其次是对实验箱非常不了解。前几部分的实验比较顺利,最后三天时间一直在解决程序下载的问题。在进行实验箱连接过程中,系统一直提示“Program

    12、ming hardware is busy!”。由于实验室没给提供实验箱的使用说明,自己又但心损坏实验箱,不能大胆的进行尝试,最后也没能解决些问题。再次是课程设计过程中解决问题的方法不多。实验室不能上网,无法从网上搜索解决的办法,书上的描述也不是很清楚,老师一个人要带两个班,所以每个问题都去问老师也不现实。最后是自己的原因,由于课程设计过程中遇到的问题较多,自己没能保持一个良好的心态,遇到问题就很着急,影响了思考和问题的解决。2、心得体会经过了十天的小学期课程设计,有成功也有失败,有喜悦也有焦急。但是学习只是个过程,在这个过程中才能使我们积累经验和逐渐成熟。在今后的学习和生活当中,要提高自己分析问题和解决问题的能力,学会从不同的角度考虑问题。十天的小学期结束了,自己也收获了很多。感谢王老师为了我们的课程设计所做的一切。


    注意事项

    本文(数字电路课程设计VHDL语言文本输入设计.docx)为本站会员主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

    copyright@ 2008-2023 冰点文库 网站版权所有

    经营许可证编号:鄂ICP备19020893号-2


    收起
    展开