欢迎来到冰点文库! | 帮助中心 分享价值,成长自我!
冰点文库
全部分类
  • 临时分类>
  • IT计算机>
  • 经管营销>
  • 医药卫生>
  • 自然科学>
  • 农林牧渔>
  • 人文社科>
  • 工程科技>
  • PPT模板>
  • 求职职场>
  • 解决方案>
  • 总结汇报>
  • ImageVerifierCode 换一换
    首页 冰点文库 > 资源分类 > DOCX文档下载
    分享到微信 分享到微博 分享到QQ空间

    基于vhdl语言的出租车计费器Word格式.docx

    • 资源ID:7746097       资源大小:94.04KB        全文页数:20页
    • 资源格式: DOCX        下载积分:1金币
    快捷下载 游客一键下载
    账号登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录
    二维码
    微信扫一扫登录
    下载资源需要1金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP,免费下载
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    基于vhdl语言的出租车计费器Word格式.docx

    1、内容摘要:随着EDA技术的高速发展,电子系统的设计技术和工具发生了深刻的变化,大规模可编程逻辑器件CPLDFPGA的出现,给设计人员带来了诸多方便。利用它进行产品开发,不仅成本低、周期短、可靠性高,而且具有完全的知识产权。该系统利用VHDL语言、PLD设计出租车计费系统,以Quartus II软件作为开发平台,设计了出租车计费器系统程序并进行了程序仿真。使其实现计费以及预置和模拟汽车启动、停止、暂停等功能,并动态扫描显示车费数目。关键词: 出租车计费器;计数器;VHDL语言;Quartus II。第一章 设计指标1.1 任务要求(1)自动计费器具有行车里程计费、等候时间计费和起步费三部分,三项

    2、计费统一用4位数码管现实,最大金额为99.99元。(2)行车里程起步费设为8.00元,并在行车3公里以后再按单价2元/km计费。等候时间计费设为1元/10分钟,要求行车时,计费值每公里刷新一次;等候时每10分钟刷新一次;行车不到1km或等候不足10分钟则忽略计费。(3)实现预置功能:能实现预置功能:能预置起步费、每公里收费、车行加费里程。(4)实现模拟功能:能模拟汽车启动、停止、暂停、车速等状态。1.2 设计原理1.2.1 车速控制模块当起停键为启动状态时(高电平),模块根据车速选择和基本车速发出响应频率的脉冲驱动计费器和里程显示模块进行计数;当处于停止状态时暂停发出脉冲,此时当输入600个单

    3、位脉冲后,即10分钟,计费器数值加1元。当不足600个脉冲时,不进行计费。 1.2.2 里程动态显示模块其包括计数车速控制模块发出的脉冲以及将计数显示动态显示出来,每来一个脉冲里程值加0.1(控制器每发一个脉冲代表运行了0.1公里)。1.2.3 计费动态显示模块其初值为8元,当里程超过3公里后才接受计数车速控制模块发出的脉冲的驱动,并且计数显示动态显示出来,每来一个脉冲(代表运行了0.5公里)其数值加1元,当收费超过20时数值加1.5元。第二章 系统框图 第三章 各单元电路设计3.1 输入选择模块3.1.1说明模块MS,输入端口CK0、CK1为两个不同的时钟信号,来模拟汽车的加速和匀速,JS加

    4、速按键。3.1.2 模块图3.1.3 程序 LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY MS IS PORT(CK0:IN STD_LOGIC; CK1: JS: CLK_OUT:OUT STD_LOGIC);END MS;ARCHITECTURE ONE OF MS ISBEGIN PROCESS(JS, CK0,CK1) BEGIN IF JS=0 THEN CLK_OUT=CK0; ELSE CLK_OUT); ELSIF CLKEVENT AND CLK=1 THEN IF STO= THEN STATE:=11;CQI:=CQI;

    5、ELSIF ENABLE = THEN CQI:=CQI+1; IF CQI30 AND CQI=80 THEN STATE:01 ELSE STATE:10ST=STATE;END PROCESS;3.3 分频模块3.3.1说明该模块实现将时钟信号5分频功能。3.3.2 模块图3.3.3 程序ENTITY PULSE IS PORT(CLK0: FOUT:END PULSE;ARCHITECTURE ONE OF PULSE IS PROCESS(CLK0) VARIABLE CNT:STD_LOGIC_VECTOR(2 DOWNTO 0); VARIABLE FULL :STD_LOGIC

    6、; IF CLK0EVENT AND CLK0= IF CNT=100 CNT:000 ; FULL:= ELSE =CNT+1;FOUT Q1:1000 IF Q21001 Q2:=Q2+1; IF Q3 Q3:=Q3+1; IF Q10101=Q1+5; IF Q1=Q2+2;0001WHEN aa:=aa+1;IF(aa600) THEN Q0:END IF;IF(aa=600 and Q2) THENELSE= IF Q3NULL; END CASE;C0=Q0;C1=Q1;C2=Q2;C3=Q3;3.5 显示译码模块3.5.1 说明该模块实现显示车费功能。BT为选位信号,SG译码信号

    7、。3.5.2 模块图3.5.3 程序ENTITY SCAN_LED IS PORT(DI0:IN STD_LOGIC_VECTOR(3 DOWNTO 0);DI1: DI2: DI3: CLK2: SG:OUT STD_LOGIC_VECTOR(6 DOWNTO 0); BT:OUT STD_LOGIC_VECTOR(2 DOWNTO 0);END SCAN_LED;ARCHITECTURE ONE OF SCAN_LED IS SIGNAL CNT4 :SIGNAL A:STD_LOGIC_VECTOR(3 DOWNTO 0);P1:PROCESS(CLK2)VARIABLE SQ : IF

    8、 CLK2EVENT AND CLK2= IF SQ= THEN SQ: SQ:=SQ+1; CNT4BT001AEND PROCESS P2;P3:PROCESS(A) CASE A ISSGT_CLK0,CK1=T_CLK1,JS=T_JS,CLK_OUT=L_CLK); U1:SOUT PORT MAP(CLK=L_CLK,ENABLE=T_ENABLE,CLR=T_CLR,STO=T_STO,ST=L_ST); U2:PULSE PORT MAP(CLK0=L_CLK,FOUT=L_FOUT); U3:COUNTER PORT MAP(CLR1=T_CLR,SI=L_ST,CLK_DI

    9、V=L_FOUT,C3=L_C3,C2=L_C2,C1=L_C1, C0=L_C0); U4:SCAN_LED PORT MAP(CLK2=T_CLK2,DI3=L_C3,DI2=L_C2,DI1=L_C1,DI0=L_C0,BT=T_BT,SG=T_SG);END STRUC;第四章 总电路图 第五章 工作原理5.1 选择输入模块此模块实现的功能是通过js按键,对clk0和clk1进行选择。Clk0和clk1分别输入频率不同的脉冲信号,从而实现对车速快慢的模拟。5.2 状态模块Enable为模块时能端,sto为车辆停车信号输入端,clk引入脉冲信号,clr为清零端。程序内设置CQ I作为公里

    10、计数。当模块工作室,如果sto输入为1,则表示暂停,此时st端口输出“11”。当st端口为0时,则表示此时车辆处于行驶状态。当出租车行驶在起步价包含的3公里内时,st端口对外输出00;当超出3公里但在5公里以内时,st输出“00”;当里程超过5公里以后st对外输出“10”。5.3 分频模块为后面的技术模块提供稳定的脉冲源。5.4 计数模块此模块实现的功能是对计费模式的选择,同时完成车费的计算。Clr1为清零端,SI为输入端,SI提供信号,内置4种计费模式,分别对其进行选择。C3、C2、C1、C0分别代表了计价器的十元、元、角、分。当SI输入“00”时,则工作在起步价范围内;当SI输入“01”时

    11、,系统工作在正常计价范围,即行驶超过三公里,开始按照每公里2元计费;当SI输入“10”时,工作在加价计费范围,即每公里3元。当SI输入为“11”时,表示车辆停止,此时aa开始计数,当等待脉冲达到600时,即10分钟,计费器增加1元。从而实现了停车计费,行车计费功能。5.5显示模块对输入信号DI进行译码,并作出输出。显示四位LED灯管,对车费进行计数。最大值为9999。第六章 心得体会作为一名工科学生,动手能力对我们来说是非常必要的。每次实习我都把它当做一次宝贵的经验。每次精工实习都能给我带来不少收获。这次做课程设计,过程中也遇到了许多的麻烦,但是在自己的努力下,同学的帮助下,一一攻破,不仅增加

    12、了对学科的认识,丰富了自己的知识体系,也更加懂得了合作的重要性。这次课程设计中,主要是运用VHDL语言设计了一个出租车计费器,并且用层次化的设计方法来实现这个电路。在程序编写过程中,出现了很多差错,也发现了眼高手低的毛病。在学习的过程中,对很多内容不去深入了解,在实际动手过程中,遇到了问题,才去找课本,翻资料解决。虽然有很多不足,但是这次课程设计让我对VHDL语言有了进一步的了解,也对QUARTUS软件有了更加熟悉的掌握,也明白了很多程序设计中应该注意的东西,从而对以后的工作和学习有很大的帮助。掌握好每一门课程,了解并掌握必要软件,在学习过程中,勤动手,多动脑。是我这次课程设计最大的收获。只有

    13、一个牢固的知识基础,才能在对深层次的内容进行了解,在学习的道路上走的更远。最后,感谢在这次实习中指导老师谢贝贝老师,以及所有帮助过我的同学,没有你们,这次实习不可能这么顺利的完成。参考文献1 艾永乐. 数字电子技术基础. 北京:中国电力出版社,20082 林明权. VHDL数字控制系统设计范例. 北京:电子工业出版社,20033 王艳芬. 数字电子电路及其EDA技术. 北京:化学工业出版社,20074 雷伏容. VHDL电路设计. 北京:清华大学出版社,20065 罗朝霞. 数字电路EDA技术入门与实战. 北京:人民邮电出版社,20096 黄任. VHDL入门 解惑 经典实例 经验总结. 北京:北京航空航天大学出版社,2004


    注意事项

    本文(基于vhdl语言的出租车计费器Word格式.docx)为本站会员主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

    copyright@ 2008-2023 冰点文库 网站版权所有

    经营许可证编号:鄂ICP备19020893号-2


    收起
    展开