欢迎来到冰点文库! | 帮助中心 分享价值,成长自我!
冰点文库
全部分类
  • 临时分类>
  • IT计算机>
  • 经管营销>
  • 医药卫生>
  • 自然科学>
  • 农林牧渔>
  • 人文社科>
  • 工程科技>
  • PPT模板>
  • 求职职场>
  • 解决方案>
  • 总结汇报>
  • ImageVerifierCode 换一换
    首页 冰点文库 > 资源分类 > DOC文档下载
    分享到微信 分享到微博 分享到QQ空间

    数字系统设计实验报告.doc

    • 资源ID:7395699       资源大小:4.43MB        全文页数:15页
    • 资源格式: DOC        下载积分:12金币
    快捷下载 游客一键下载
    账号登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录
    二维码
    微信扫一扫登录
    下载资源需要12金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP,免费下载
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    数字系统设计实验报告.doc

    1、计算机科学与技术学院数字系统设计实验报告姓 名: 学号: 专 业:班 级: 指导教师: 2011年11月 徐州实验4-1 组合电路的设计实验目的:熟悉Quartus 2的 VHDL 文本设计流程全过程,学习简单组合电路的设计,多层次电路的设计、仿真和硬件测试。实验内容:1首先利用Quartus 2 完成2选1 多路选择器的文本编辑输入和仿真测试等步骤,给出仿真波形。最后在实验系统上进行硬件测试,验证本项设计的功能。2将此多路选择器看成是一个元件mux21a,利用元件例化语句描述,并将此文件放在同一目录中。任务一:1程序代码ENTITY mux21a IS PORT (a,b,s:IN BIT;

    2、 y:OUT BIT ); END ENTITY mux21a; ARCHITECTURE one OF mux21a IS BEGIN PROCESS(a,b,s) BEGIN IF s=0 THEN y=a;ELSE ya2,b=a3,s=s0,y=tmp); u2:mux21a port map(a=a1,b=tmp,s=s1,y=outy); end architecture one; 2. 编译3. 波形图4. 观察RTL电路实验体会:通过这次实验,对vhdl文本设计流程有了初步的了解,对组合电路的原理和构成有了更深的认识。这次实验的最大收获就是学会了quartersII 的初步使用

    3、方法。实验4-2 时序电路的设计实验目的:熟悉Quartus 2 的VHDL文本设计过程,学习简单时序电路的设计、仿真和测试。实验内容:1设计触发器,给出程序设计、程序编译、仿真分析、硬件测试及详细实验过程。2设计锁存器,给出程序设计、程序编译、仿真分析、硬件测试及详细实验过程。任务一:1. 程序代码LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY DFF1 IS PORT (CLK,D: IN STD_LOGIC; Q: OUT STD_LOGIC);END;ARCHITECTURE BHV OF DFF1 IS SIGNAL Q1: STD_L

    4、OGIC; BEGIN PROCESS (CLK,Q1) BEGIN IF CLKEVENT AND CLK=1 THEN Q1=D; END IF; END PROCESS; Q = Q1 ;END ARCHITECTURE BHV;2. 编译3. 波形图4. 观察RTL电路任务二:1. 程序代码library ieee;use ieee.std_logic_1164.all;entity dff3 is port(clk,d:in std_logic; q:out std_logic);end entity dff3;architecture bhv of dff3 is begin pr

    5、ocess(clk,d) begin if clk=1 then q0); ELSIF CLKEVENT AND CLK=1 THEN IF EN=1 THEN IF CQI0); END IF; END IF; END IF; IF CQI = 9 THEN COUT = 1; ELSE COUT = 0; END IF; CQ = CQI; END PROCESS; END BEHAV; 2. 编译3波形图4.RTL模拟图实验体会:本次实验设计了一个含异步清0和同步时钟使能的加法计数器,设计原理较为简单,但在设计过程中也会出现一些小错误,通过细心的分析才能够使实验结果更加完善。实验4-4 用原理图输入法设计全加器实验目的:熟悉原理图的输入方法设计简单组合电路,掌握层次化设计的方法,并通过一个全加器的设计把握利用EDA软件进行原理如输入方式的电子线路设计的详细流程。实验内容:设计全加器,给出程序设计、程序编译、仿真分析、硬件测试及详细实验过程。1半加器图2全加器图3编译4波形图实验体会:通过这次实验,我发现数字系统设计更加有趣,并对于一些原来不怎么理解理论认识更加透彻了,只有理论和实践的结合才能更好的理解并运用所学的知识。硬件设计在掌握了基础设计知识后,重要的是设计的思维和方法。


    注意事项

    本文(数字系统设计实验报告.doc)为本站会员主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

    copyright@ 2008-2023 冰点文库 网站版权所有

    经营许可证编号:鄂ICP备19020893号-2


    收起
    展开