欢迎来到冰点文库! | 帮助中心 分享价值,成长自我!
冰点文库
全部分类
  • 临时分类>
  • IT计算机>
  • 经管营销>
  • 医药卫生>
  • 自然科学>
  • 农林牧渔>
  • 人文社科>
  • 工程科技>
  • PPT模板>
  • 求职职场>
  • 解决方案>
  • 总结汇报>
  • ImageVerifierCode 换一换
    首页 冰点文库 > 资源分类 > DOCX文档下载
    分享到微信 分享到微博 分享到QQ空间

    基于VHDL语言的数字钟设计文档格式.docx

    • 资源ID:7288287       资源大小:276.56KB        全文页数:17页
    • 资源格式: DOCX        下载积分:1金币
    快捷下载 游客一键下载
    账号登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录
    二维码
    微信扫一扫登录
    下载资源需要1金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP,免费下载
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    基于VHDL语言的数字钟设计文档格式.docx

    1、 QC = carryin; process(clk) if (clkevent AND clk=1) then carryin=0; if(count1=1001)then count1=0000 count2=count2+1; else=count1+1; END if; if(count2=0101AND count1= END process;END func;cnt60仿真波形:2 cnt24子模块代码:ENTITY V_cnt24 ISPORT ( clk : Q0,Q1,Q2,Q3,Q4,Q5:END V_cnt24;ARCHITECTURE func_cnt24 OF V_c

    2、nt24 ISevent and clk=) then 0010 AND count1=0011END func_cnt24;cnt24仿真波形:3 cnt1000字模块代码:entity V_cnt1000 isport( clk :in std_logic; cnt1000 :out std_logic; clk_c :out std_logic);end V_cnt1000;architecture bhv of V_cnt1000 is signal tmp:std_logic_vector(9 downto 0);signal amp:std_logic_vector(8 downt

    3、o 0);begin beginif (clk if (tmp=1023)then tmp0000000000 else tmp=tmp+1; end if;if (tmp511) then cnt1000 else cnt1000end if;end process; if (amp=511)then amp000000000 else amp=amp+1;if (amp255) then clk_c else clk_cend bhv;cnt1000仿真波形:4 clk_c子模块代码:library IEEE;use IEEE.std_logic_1164.all;use IEEE.std

    4、_logic_unsigned.all;ENTITY V_clk_c ISPORT (clk,CLK_C,M1,S1,SS,MM,HH,CTRL : IN STD_LOGIC; CLKS,CLKM,CLKH : OUT STD_LOGIC);END V_clk_c;ARCHITECTURE func OF V_clk_c IS processCLKS=(CTRL AND CLK) OR(NOT CTRL) AND HH AND MM AND (NOT SS) AND CLK_C);CLKM=(CTRL AND S1) OR(NOT CTRL) AND HH AND (NOT MM) AND S

    5、S AND CLK_C);CLKH LED1LED2LED3LED4LED5LED6 code(0)=LED1Q_0;code(1)=LED1Q_1;code(2)=LED1Q_2;code(3)=LED1Q_3; WHEN 001 code(0)=LED2Q_0;=LED2Q_1;=LED2Q_2;=LED2Q_3;010 code(0)=LED3Q_0;=LED3Q_1;=LED3Q_2;=LED3Q_3;011LED1=LED4Q_0;=LED4Q_1;=LED4Q_2;=LED4Q_3;100 code(0) NULL; END CASE;process(code)CASE code

    6、ISWHEN SE_ASE_BSE_CSE_DSE_ESE_FSE_GEND CASE;END process; if(clk ctrl=ctrl+1; if(ctrl= ctrldisplay仿真波形:6de4_7子模块代码:use ieee.STD_logic_1164.ALL;use ieee.STD_logic_unsigned.ALL;ENTITY V_de4_7 IS PORT ( a,b,c,d : in std_logic; se_a,se_b,se_c,se_d,se_e,se_f,se_g : out std_logic);END V_de4_7;ARCHITECTURE

    7、actde4_7 OF V_de4_7 ISsignal segment : std_logic_vector(6 downto 0 );signal input : std_logic_vector(3 downto 0 ); se_a=segment(0); se_b=segment(1); se_c=segment(2); se_d=segment(3); se_e=segment(4); se_f=segment(5); se_g=segment(6); input(0)=d; input(1)=c; input(2)=b; input(3)=a; process(a,b,c,d) b

    8、egin case input is when segment0000000 end case; end process;end actde4_7;de4_7仿真波形:7. mul8_1子模块代码:LIBRARY IEEE;use ieee.STD_LOGIC_1164.ALL;ENTITY V_mul8_1 IS PORT( ST: A: IN STD_LOGIC_VECTOR(2 DOWNTO 0); D: IN STD_LOGIC_VECTOR(7 DOWNTO 0); Q:END V_mul8_1;ARCHITECTURE HBV OF V_mul8_1 ISSIGNAL Q1: STD_LOGIC;PROCESS(a)IF ST= THEN Q1 END IF;END PROCESS;Q= Q1;END HBV;mul8_1仿真波形:二 总体资源占用率:50%


    注意事项

    本文(基于VHDL语言的数字钟设计文档格式.docx)为本站会员主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

    copyright@ 2008-2023 冰点文库 网站版权所有

    经营许可证编号:鄂ICP备19020893号-2


    收起
    展开