欢迎来到冰点文库! | 帮助中心 分享价值,成长自我!
冰点文库
全部分类
  • 临时分类>
  • IT计算机>
  • 经管营销>
  • 医药卫生>
  • 自然科学>
  • 农林牧渔>
  • 人文社科>
  • 工程科技>
  • PPT模板>
  • 求职职场>
  • 解决方案>
  • 总结汇报>
  • ImageVerifierCode 换一换
    首页 冰点文库 > 资源分类 > DOCX文档下载
    分享到微信 分享到微博 分享到QQ空间

    基于FPGA数字CMOS摄像机图像采集.docx

    • 资源ID:6603513       资源大小:90.26KB        全文页数:27页
    • 资源格式: DOCX        下载积分:3金币
    快捷下载 游客一键下载
    账号登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录
    二维码
    微信扫一扫登录
    下载资源需要3金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP,免费下载
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    基于FPGA数字CMOS摄像机图像采集.docx

    1、基于FPGA数字CMOS摄像机图像采集基于FPGA的数字CMOS摄像机图像采集一、数据采集系统概述数据采集是指将以各种形式输入的被测信号,包括语音信号、温度信号、湿度信号、图像信号等经过适当处理,成为计算机可以识别的数字信号,从而送入计算机进行存储处理的过程,数据采集卡就是典型的基于数据采集系统原理的集成计算机扩展卡。如图1所示,在数据采集过程中主要有几个关键部分:(1输入信号的幅度较小或者过大,需要经过放大器单元将输入信号幅度放大或者缩小。(2输入信号带有较大的噪声,需要经过一个硬件的模拟滤波单元,将信号滤波整形。(3将信号送到AD进行模数转换。(4将信号传输到计算机;(5存储记录和处理数据

    2、。图1数据采集过程通常认为如果数字逻辑电路的频率超过50MHz,而且工作在这个频率之上的电路已经占到了整个电路系统的三分之一以上,就称为高速电路。相应的,对于并行采样系统,如果采样频率达到50MHZ,数据量并行8bit以上。对于串行采样系统,如果采样频率达到200MHz,一般将这种采样系统也称为高速数据采集。目前高速数据采集使用较多的采样频率一般在50M100MHz之间。采集系统分模拟系统和数字系统,大多数字采集系统中,CMOS图像传感器是系统的成像部件,它是系统的“眼睛”,能够捕获高速运动物体的图像,此模块是将采集的模拟图像转化为数字信号输出。图像处理模块是系统的中间缓存处理部分,此模块为了

    3、消除或降低前期采集携带噪声的影响,提高图像质量,将庞大的数据量进行压缩,以减小对存储介质容量的要求。数据传输模块是系统与外设搭建的桥梁,此模块是将实时采集的数据高速传输,给外设提供信息。二、总体方案设计方案1:图像数据的传输通过USB总线技术完成系统的初始化以及将最终的传输信号准确无误地传送到上位机上。USB技术具有简单化、通用性、可靠性、热插拔、传输速率高等优点,随之带来的是应用USB技术的复杂程度高、总线传输协议需要协调等问题。方案2: 利用两片SDRAM进行图像数据的短时间存储并快速传输,SDRAM是多Bank结构,例如在一个具有两个Bank的SDRAM的模组中,其中一个Bank在进行预

    4、充电期间,另一个Bank却马上可以被读取,这样当进行一次读取后,又马上去读取已经预充电Bank的数据时,就无需等待而是可以直接读取了,这也就大大提高了存储器的访问速度。其优点是设计相对简单、不许考虑传输时转换总线的问题、较为可靠,缺点是SDRAM容量有限、传输时序需要计算准确、SDRAM经常刷新等。根据综合分析和考虑,我们选用相对简单方便的方案2,选择器件如下:FPGA采用Altera的Cyclone EP2C35F484C8,视频采集芯片用飞利浦的SAA7113作为A/D转换单元,两块型号为K4S641632E的SDRAM和FPGA构成图像帧存储及传输处理系统,ADV7125是数模转换芯片,

    5、和计算机VGA显示器相连作为显示终端,系统整体框图如图2所示。图2 视频监控系统整体框图以上图像视频监视系统的大部分设计工作都集中在对FPGA的编程开发上,通过分析可以确定出FPGA需要包含如下几个功能模块。1)视频接口配置模块:视频采集芯片SAA7113具有多种采集方式,这里FPGA通过IC总线对其内部寄存器进行配置,使其按照一定的格式进行采样。2)异步FIFO模块:当FPGA接收A/D采样的视频数据时,因为SAA7113和FPGA一般在不同的时钟频率下,这就会出现通常所说的异步时钟问题,处理不当就容易出现亚稳态,常用方法是在两者之间添加一块异步FIFO。3)视频变换模块:对得到的数字视频流

    6、进行解码,识别出行、场同步信号,并且根据需要选择采集图像的大小,进而变换成RGB格式的图像数据,以便于后续显示。4)图像帧存读写模块:将解码后的数据经由一个乒乓机制依次存放在两片RAM中,每个里面刚好存放一幅图像,通过乒乓机制使得两块存储区域交替进行存储输入和显示输出,避免等待,提高速度。5)VGA控制模块:根据VGA的工业参数,产生相应的行同步和场同步信号,并在适当时刻送入数据,经由ADV7125送VGA进行显示。图3描述了FPGA内部的各个主要功能模块。系统上电时,FPGA首先从外部Flash中读取配置数据,完成自身的程序加载,进入工作模式状态。随后IC配置接口模块完成对SAA7113的初

    7、始化,初始化结束后,FPGA等待采集图像的命令。FPGA收到采集命令后,启动采集视频数据模块、异步FIFO模块和视频解码模块进行解码,将数据轮换写到两个帧存中,经通信模块送出,以上即为该系统的工作流程。下面主要针对SDRAM控制模块的具体实现过程进行详细分析和介绍。图3 FPGA系统内部各模块整体框图三、SDRAM控制模块系统要把每一幅图像数据存储到一个SDRAM里面供后续显示,因为SDRAM整个数据的写入和读出都需要一定的时间,为了避免中间等待过程,采用两片等大的SDRAM交替工作,一片在从FIFO向其写入时另一片向VGA输出,使用乒乓机制交换它们的工作性质。这样问题的重点就集中在FPGA对

    8、SDRAM的读写控制模块上。3.1SDRAM概述之所以要用到SDRAM,是因为它价格低、体积小、速度快、容量大,是比较理想的存储器件。在基于FPGA的图像采集和集中显示系统中,常常要用到这种大容量、高速度的存储器。但SDRAM的控制逻辑比较复杂,对时序要求也十分严格,这就要求有一个专门的控制器,使系统用户能很方便地操作SDRAM。SDRAM器件的管脚分为控制信号、地址和数据3类。通常一个SDRAM中包含几个BANK,每个BANK的存储单元是按行和列寻址的。因为这种特殊的存储结构,SDRAM有以下几个工作特性。1)SDRAM的初始化SDRAM在上电100200s后,必须由一个初始化进程来配置SD

    9、RAM的模式存储器,模式存储器的值决定SDRAM的工作模式。访问存储单位:为减少I/O引脚数量,SDRAM复用地址线,所有在读写SDRAM时,先由ACTIVE命令激活要读写的BANK,并锁存行地址,然后在读写指令有效时锁存列地址。一旦BANK被激活后,只有执行一次预充命令后才能再次激活同一BANK。2)刷新和预充SDRAM的存储单元可以理解为一个电容,总是倾向于放电,因此必须有定时刷新周期以避免数据全失。刷新周期可由最小刷新周期+时候周期)计算获得。对BANK预充电或者关闭已激活的BANK,可预充特定BANK也可同时作用于所有BANK,A10、BA0和BA1用于选择BANK。3)操作控制SDR

    10、AM的具体控制命令由一些专用控制引脚和地址线辅助完成。CS、RAS、CAS和WR在时钟上升沿的状态决定具体操作动作,地址线和BANK选择控制线在部分操作动作中作为辅助参数输入。因为特殊的存储结构,SDRAM操作指令比较多,不像SRAM一样只有简单的读写。根据系统要求,本设计选用SAMSUNG的K4S6432 SDRAM芯片。3.2SDRAM控制器总体设计SDRAM控制器与外部的接口示意图由图4给出,控制器右端接口信号均为直接与SDRAM对应管脚相连的信号;控制器左端的接口信号为与FPGA相连的系统控制接口信号,其中,CLK为系统时钟信号,RESET_N为复位信号,ADDR为系统给出的SDRAM

    11、地址信号,DAIN是系统用于写入SDRAM的数据信号,FPGA_RD和FPGA_WR为系统读、写请求信号1为有效,0为无效),SDRAM_FREE是SDRAM的空闲状态标示信号0为空闲,1为忙碌),FDATA_ENABLE是控制器给系统的数据收发指示信号为0时,无法对SDRAM进行数据收发;为1时,若是系统读操作,则系统此时可从DAOUT接收SDRAM的数据,若是写操作,则系统此时可以通过DAIN发送数据给SDRAM)。图4 总体设计框图和外部接口信号参照SDRAM的数据手册可知,它的指令译码对照表如表1所示。表1 SDRAM指令译码对照表命令CS_RAS_CAS_WE_A10 AP空操作指令

    12、NOP)0111X刷新指令REF/SELF)0001X读写停止指令BST)0110X模式设置指令MRS)00000/1激活指令ACTIVE)00110/1读指令READ)01010带预充的读指令READA)01011写指令WRITE)01000带预充的写指令WRITEA)01001预充指令PRE)00100仔细分析SDRAM的各个接口信号、时序要求和工作模式,将该SDRAM控制器的内部进一步细化为多个功能模块,结构组成如图5所示,包括系统控制接口模块、CMD命令解读模块、命令相应模块、数据通路模块。系统控制接口模块用于接收系统的控制信号,进而产生不同的CMD命令组合;CMD命令解读模块用于接收

    13、CMD命令并解码成操作指令;命令响应模块用于接收操作指令并产生SDRAM的操作动作;数据通路模块则用于控制数据的有效输入输出。图5 SDRAM控制器的结构图3.3SDRAM系统控制接口子模块设计该模块主要包括初始化和系统指令分析功能。其工作过程如下:由计数器控制在系统上电约200s后,先进行SDRAM的初始化配置工作,由一个Precharge all back指令完成对所有BANK的预充,接着是多个Refresh指令,然后是模式配置指令LOADMODE,完成SDRAM的工作模式设置。之后进行控制器的初始化配置工作,先发出指令LOADREG1给控制器载入模式字,再发出LOADREG2指令载入控制

    14、器的刷新计数器值,完成控制器初始化配置。上述初始化过程结束后,系统指令分析机制才可接收并分析系统的读写信号和地址信息,以及从下个模块反馈回来的CMD_ACK信号,并产生对应的CMD命令和SADDR地址信息给CMD命令解读模块。通过程序设置,实现了根据初始化配置的参数来确定在读写到特定时刻发出Precharge或者Refresh的CMD指令,从而简化了系统的控制。而每当收到CMD_ACK为1时,表示CMD指令已经发出并有效,此时就要发出NOP命令CMD=000)。要说明的是,SADDR是分时复用的,在初始化载入模式时,SADDR用以传输用户自己定义的模式字内容;而在正常的读写期间,SADDR作为

    15、地址线传输SDRAM所需的行、列和块地址。代码示例如附件1.3.4CMD命令解读和命令相应子模块该模块首先对CMD指令进行判断,其结果解释输出相应的操作指令进行响应。例如,CMD为001时,则会输出do_read信号为1;CMD为010时,则会输出do_write信号为1,在同一时刻,只会输出一种有效的操作指令。然后该模块根据操作指令,做出符合SDRAM读写规范的操作动作,来进行用户期望的操作;给出数据选通信号OE,来控制数据通路模块写操作OE为1,读操作时OE为0)。此外,该模块把系统非复用的地址ADDR处理为SDRAM复用的地址,分时送给SA、BA。程序中地址复用的方法为:assign r

    16、addr=ADDRROWSTART+ROWSIZE-1:ROWSTART。/raddr为行地址assign eaddr=ADDRCOLSTART+COLSIZE-1:COLSTART。/eaddr为列地址assign baddr=ADDRBANKSTART+BANKSIZE-1:BANKSTART。/baddr为BANK地址在程序中,WRITEA和READA的CMD指令实际隐含了ACTIVE命令,所以该模块在收到do_write或do_read指令后,会先进行激活动作,经过初始化配置规定的CAS延迟时间之后再进行读写动作。此外,该模块内含用以预设某些模式参数的模式寄存器,主要包括3类:第1类是

    17、SDRAM模式控制寄存器,在LOADMODE指令时,将该寄存器的值送入SDRAM的模式寄存器中,以控制SDRAM的工作模式;第2类是SDRAM控制器的参数寄存器。port( CLK :in std_logic。 RESET_N :in std_logic。 CMD :in std_logic_vector(2 downto 0。 ADDR :in std_logic_vector(ASIZE-1 downto 0。 REF_ACK :in std_logic。 CM_ACK :in std_logic。 NOP :out std_logic。 READA :out std_logic。 WRI

    18、TEA :out std_logic。 REFRESH :out std_logic。 LOAD_MODE :out std_logic。 SADDR :out std_logic_vector(ASIZE-1 downto 0。 SC_CL :out std_logic_vector(1 downto 0。 SC_RC :out std_logic_vector(1 downto 0。 SC_RRD :out std_logic_vector(3 downto 0。 SC_PM :out std_logic。 SC_BL :out std_logic_vector(3 downto 0。 R

    19、EF_REQ :out std_logic。 CMD_ACK :out std_logic。 。end control_interface。architecture RTL of control_interface is -signal declarations signal LOAD_REG1 : std_logic。 signal LOAD_REG2 : std_logic。 signal REF_PER : std_logic_vector(15 downto 0。 signal timer : signed(15 downto 0。 signal timer_zero : std_lo

    20、gic。 signal SAADR_int : std_logic_vector(ASIZE-1 downto 0。 signal CMD_ACK_int : std_logic。 signal SC_BL_int : std_logic_vector(3 downto 0。begin -This module decodes the commands from the CMD input to individual -command lines,NOP,READA,WRITEA,REFRESH,PRECHARGE,LOAD_MODE。 -ADDR is register in order t

    21、o keep it aligned with decoded command. process(CLK,RESET_N begin if(RESET_N=0then NOP =0。 READA =0。 WRITEA =0。 REFRESH =0。 PRECHARGE =0。 LOAD_MODE =0。 load_reg1 =0。 load_reg2 =0。 SAADR_int 0。elseif rising_edge(CLKthen SAADR_intthen NOP=1。 else NOPthen READA=1。 else READAthen WRITEA=1。 else WRITEAth

    22、en REFRESH=1。 else REFRESHthen PRECHARGE=1。 else PRECHARGEthen LOAD_MODE=1。 else LOAD_MODEand(LOAD_REG1=0then LOAD_REG1=1。 else LOAD_REG1and(LOAD_REG2=0then LOAD_REG2=1。 else LOAD_REG2。port( CLK :in std_logic。 RESET_N :in std_logic。 SADDR :in std_logic_vector(ASIZE-1 downto 0。 NOP :in std_logic。 REA

    23、DA :in std_logic。 WRITEA :in std_logic。 REFRESH :in std_logic。 PRECHARGE :in std_logic。 LOAD_MODE :in std_logic。 SC_CL :in std_logic_vector(1 downto 0。 SC_RC :in std_logic_vector(1 downto 0。 SC_RRD :in std_logic_vector(3 downto 0。 SC_PM :in std_logic。 SC_BL :in std_logic_vector(3 downto 0。 REF_REQ :

    24、in std_logic。 REF_ACK :out std_logic。 CM_ACK :out std_logic。 OE :out std_logic。 SA :out std_logic_vector(11 downto 0。 BA :out std_logic_vector(1 downto 0。 CS_N :out std_logic_vector(1 downto 0。 CKE :out std_logic。 RAS_N :out std_logic。 CAS_N :out std_logic。 WE_N :out std_logic。 。end command。architec

    25、ture RTL of command is -signal declarations signal do_nop : std_logic。 signal do_reada : std_logic。 signal do_writea : std_logic。 signal do_writea1 : std_logic。 signal do_refresh : std_logic。 signal do_precharge : std_logic。 signal do_load_mode : std_logic。 signal command_done : std_logic。 signal co

    26、mmand_delay: std_logic_vector(7 downto 0。 signal rw_shift : std_logic_vector(3 downto 0。 signal do_act : std_logic。 signal rw_flag : std_logic。 signal do_rw : std_logic。 signal oe_shift : std_logic_vector(7 downto 0。 signal oe1 : std_logic。 signal oe2 : std_logic。 signal oe3 : std_logic。 signal oe4 : std_logic。 signal rp_shift : std_logic_vector(3 downto 0。 signal rp_done : std_logic。 signal rowaddr : std_logic_vector(ROWSIZE-1 downto 0。 signal coladdr : std_logic_vector(COLSIZE-1 downto 0。


    注意事项

    本文(基于FPGA数字CMOS摄像机图像采集.docx)为本站会员主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

    copyright@ 2008-2023 冰点文库 网站版权所有

    经营许可证编号:鄂ICP备19020893号-2


    收起
    展开