欢迎来到冰点文库! | 帮助中心 分享价值,成长自我!
冰点文库
全部分类
  • 临时分类>
  • IT计算机>
  • 经管营销>
  • 医药卫生>
  • 自然科学>
  • 农林牧渔>
  • 人文社科>
  • 工程科技>
  • PPT模板>
  • 求职职场>
  • 解决方案>
  • 总结汇报>
  • ImageVerifierCode 换一换
    首页 冰点文库 > 资源分类 > DOCX文档下载
    分享到微信 分享到微博 分享到QQ空间

    多功能信号发生器课程设计.docx

    • 资源ID:596598       资源大小:111.69KB        全文页数:25页
    • 资源格式: DOCX        下载积分:3金币
    快捷下载 游客一键下载
    账号登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录
    二维码
    微信扫一扫登录
    下载资源需要3金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP,免费下载
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    多功能信号发生器课程设计.docx

    1、多功能信号发生器课程设计 中文摘要随着人类社会的不断向前发展,科学技术也在一步一步地发生着天翻地覆的变换。而不管是在科教还是在科研方面,要想获得一个系统电参数的数值或特征,都必须在具有一定的电信号的作用下才能表现出来。为此,信号发生器成为了一种必然,成为了学术界的一种必需。 多功能信号发生器是一种低频信号发生器,其基本波形主要是正弦波,三角波和方波。用单片机来产生这些基本信号是现在教学中一种基本措施,同时又能训练同学的动手动脑能力。单片机是集CPU ,RAM ,ROM ,定时,计数和多种接口于一体的微控制器,体积小,成本低,功能强,广泛应用于智能产业和工业自动化上,其中数C8051系列最为典型

    2、。 本次单片机课程设计的基本目的就是训练我们的思考动手能力,让我们能更深入的了解单片机的使用及特点。而多功能信号发生器作为一种用途广泛且重要的器具,对本次试验来说无疑是一种针对性强的设计。在这次设计中着重介绍了中断程序的实现原理,如何实现液晶显示的功能及对基本信号的产生的编程过程,从而更加熟练编程的细节及注意事项。关键词: 单片机 中断服务程序 多功能信号发生器 液晶显示器 1 设计任务描述1.1 设计题目:多功能信号发生器1.2 设计要求1.2.1 设计目的:单片机程序设计的目的是使学生通过这一环节,增强对单片机汇编语言的熟练程度。1.2.2 基本要求: 1)能够产生正弦信号、方波信号和三角

    3、波信号 2)输出三种波形能够转换1.2.3发挥部分: 1)能够改变波形的幅值 2)能够用液晶显示器显示出来2 设计思路根据本次单片机设计的基本要求,此次设计的多功能信号发生器主要功能是能够在产生正弦信号、方波信号和三角波信号三种波形输出的同时还能实现三种波形之间的相互转换。通过汇编语言将三种波形生成的程序串接在一起,在依靠中断按键,控制波形的转换。在此设计基础上同时还添加了改变信号的幅值和能在信号转换同时还可以在液晶显示器上显示相应波形的拼写的附加功能。 设计的思路:第一步:所需要的信号的生成,分别编写出方波,正弦波,三角波三种常见的波形的程序;第二步:不同信号之间的相互的转换,程序中的比较指

    4、令是能够实现转换的中心环节,再利用中断服务程序中的外部中断六(key3)来实现三种波形之间灵活的相互转换;第三步:幅值的变换,利用按键中断七(key4)来实现波形中的正弦波幅值的变化;第四步:波形的形式显示,在波形生成的同时在液晶显示器上显示波形当前形式的拼写。 3 流程图4各部分波形设计及参数计算:在这里我将分别对两个方块作详细的称述:4.1 多种波形设计 4.1.1正弦波 如图4-14.1.2三角波 如图4-24.1.3方波 如图4-34.1.4锯齿波 如图4-4 图4-1 图4-2正弦波流程图 三角波流程图 图4-3 图4-4方波流程图 锯齿波流程图 4.2参数计算 4.2.1正弦波参数

    5、计算由于单片机是12位电压输出数/模转换器,所以将其分为两部分,高四位用来调节幅度,低八位用来显示正弦波形,由Vi/VREF=VD/FF可知VD正弦变化则Vi正弦变化,令VD=FF/2*(sinx+1),一个正弦周期取181个点,最后加一个点129判断一个周期是否执行完,所以可得每点间隔为23.14/1810.04,所以可以算出正弦波数值表,如下:sintab:DB 128,132,137,141,146,150,154,159,163,167 DB 171,176,180,184,188,191,195,199,203,206 DB 210,213,216,219,222,225,228,2

    6、31,233,236 DB 238,240,242,244,246,247,249,250,251,252 DB 253,254,254,255,255,255,255,255,254,254 DB 253,252,251,250,249,247,246,244,242,240 DB 238,236,233,231,228,225,222,219,216,213 DB 210,206,203,198,195,192,188,184,180,176 DB 172,167,163,159,155,150,146,141,137,133 DB 128,124,119,115,111,106,102,

    7、97,93,89,85 DB 81,77,73,69,65,61,57,54,50,47,43,40,37 DB 34,31,28,25,23,20,18,16,14,12,10,9,7 DB 6,5,4,3,2,2,1,1,1,1,1,2,2,3,4,5,6 DB 7,9,10,12,14,16,18,20,23,25,28,30,33,36 DB 40,43,46,50,53,57,60,64,68,72,76,80,84 DB 88,93,97,101,106,110,114,119,123,128,1294.2.2 LCD显示器的参数计算LCD显示数值就是将所要显示字母的ASCII码值

    8、填入表中:zhengxianbodata:DB 2EH,7AH,68H,65H,6EH,67H,78H,69H,61H,6EH,62H,6FHsanjiaobodata:DB 2EH,73H,61H,6EH,6AH,69H,61H,6FH,62H,6FHfangbodata:DB 2EH, 66H,61H,6EH,67H,62H,6FHjuchibodata:DB 2EH, 6aH,75H,63H,68H,69h,62H,6FH5工作过程分析5.1正弦波: 若R7等于4,则LCD显示程序显示“zhengxianbo”,LED显示完后再查正弦波波形表,将所查得的数据进行DA转换并输出正弦波,按下

    9、key3可以调节幅值,将表最后一个数据定为129用来判断正弦波一个周期的数据是否调用完,若调用完则让此程序循环执行。5.2三角波: 若R7等于1,则LCD显示程序显示“sanjiaobo”, 显示完后将00送到DAC0L再逐次加1送到DAC0L待到低位值增到FF时,给DAC0H加1,依次循环,直至DAC0的值为FFF,锯齿波最高点的值为FFF,再用同样的方法让DAC0的值从最大值FFF减到000,以此循环则显示三角波。5.3方波: 若R7等于2,则LCD显示程序显示 “fangbo”, 显示完后将FF送到DAC0L,将F送到DAC0H,再调用延时程序,待延时程序执行完将00送到DAC0L,将0

    10、送到DAC0H,再调用延时程序,以此循环,则示波器上输出方波。5.4锯齿波: 若R7等于3,则LCD显示程序显示“juchibo”,显示完后将00送到DAC0L再逐次加1送到DAC0L待到低位值增到FF时,给DAC0H加1,依次循环,直至DAC0的值为FFF,锯齿波最高点的值为FFF,再循环执行上述过程。6元器件清单器件名称器件型号器件数量计算机1波形发生器GDS-10221单片机C8051f0201表6-17 主要元器件介绍 7.1 计算机:用于编写源程序7.2示波器:用于显示各种波形7.3单片机:如果按功能划分,它由8个部件组成,即微处理器(CPU)、数据存储器(RAM)、程序存储器(RO

    11、M/EPROM)、I/O口(P0口、P1口、P2口、P3口)、串行口、定时器/计数器、中断系统及特殊功能寄存器(SFR)的集中控制方式。各功能部件的介绍:1、数据存储器(RAM):片内为128个字节单元,片外最多可扩展至64K字节。2、程序存储器(ROM/EPROM):ROM为4K,片外最多可扩展至64K。3、中断系统:具有5个中断源,2级中断优先权。4、定时器/计数器:2个16位的定时器/计数器,具有四种工作方式。5、串行口:1个全双工的串行口,具有四种工作方式。6、特殊功能寄存器(SFR)共有21个,用于对片内各功能模块进行管理、监控、监视。7、微处理器:为8位CPU,且内含一个1位CPU

    12、(位处理器),不仅可处理字节数据,还可以进行位变量的处理。8、四个8位双向并行的I/O端口,每个端口都包括一个锁存器、一个输出驱动器和一个输入缓冲器。这四个端口的功能不完全相同。 小结在这次设计中,我超额完成了这次任务,作好了多功能信号发生器,能够输出正弦波,三角波,方波,锯齿波;各种波形之间可以相互转换;也可以调节幅值;可以用LCD显示出来,我很高兴,同时也感谢老师和同学的帮助。回忆起这次课程设计,至今我仍然感触颇多。我的题目是多功能信号发生器,刚刚看到这个题目时感到非常难,无从下手,没有一个具体的设计思路,最后,我制定了这样的计划:先查阅相关资料,再进行方案论证,源程序设计,最后再写设计报

    13、告,进行答辩。总体思路有了,但实现起来还是很难,只设计一个简单的方波子程序我就遇到了问题。还有每个程序作好后,调试时也遇到了许多困难,比如:正弦波调试没错误就是不出波形。每个程序思路都不同,发现有些无法组合,我们不得不放弃一些已经作好的程序,从头做起。其中我遇到了很多问题:比如再用中断六进行转换时跳的很快,加了延时好像也不太好使。但是我从不知道做什么,到不知怎么做,到后来的不知怎么完善程序,一个一个问题不断地出现,但值得高兴的是我们一起克服了。虽然在这次课程设计中我们所设计的程序仍有很多漏洞,。还有一些没解决的问题,但我们已经很满足了,至少我们努力了。通过课程设计我收获很多,不仅对这个课程有了

    14、更深的理解,而且也学会了团队精神的重要性,个人的能力是有限的,团结才能有力量,我们都尽自己所能来完成这次课程设计。通过这次课程设计,我懂得了理论与实际结合是很必要的。只有理论知识是远远不够的,只有把所学的理论知识与实际结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考能力。在设计的过程中,虽然我们遇到了重重困难,但我们都一一把困难克服了。可贵的是,我们在设计过程中发现了自己的不足,对前面学过的知识理解的不够深刻,掌握的不够牢固。通过这次课程设计,我们相当于把前面所学过的知识又重新温故了一遍。善于总结,也是学习能力的一种体现。每次完成一个程序,都应随时总结,找到

    15、自己的不足,这样一来所设计的程序才能逐步提高。生活就是这样,汗水预示着结果也见证着收获。有些事情并不是因为它难而使我们不能做,而是因为我们不去做而使它看起来很难,就像是这次课程设计,刚开始看起来很难,但当我们真正做的时候一步一步也走过来了,所以我相信,没有什么我们做不到的,只要我们肯为此而付出努力!致谢关于课程设计的学习,我深深体会到了同学之间团结互助的重要性。在此,我要特别对帮助我的老师表示感谢。在刚开始时,我们拿到这个课程设计,真的是一头雾水。时间过得真快,转眼间,两周的程序设计课程就要结束了。短短的两周之内,我有很多都不知道从何开始,是老师的耐心指导帮我明确了方向,是同学的相互帮助给我作

    16、了导航,让我们知道了课程设计的基本步骤,开始着手设计。每天,老师都会帮助我们解答一些我们想不明白的问题。在老师的悉心指导下,我们的源程序终于做完了。本来以为一切都快结束了,没想到在真正参透,调试时,问题百出,让我们都失去了信心,这时候,老师给予我们无私的帮助,教育我们要有耐心,慢慢检查,并告诉我们,不是每个人都能一次就把源程序设计好,一个完整的源程序总是在不断的修改过程中,一点一滴的完善的。在我们的努力和老师的帮助下,我们终于把源程序给完善了。面对完善后的源程序,我们就开始写论文。最后一刻,我们终于成功的完成了。真的很感谢老师们,是他们使我们尝到了成功的喜悦。在这次课程设计中我们不仅完成了老师

    17、交给的各项任务,还增加了很多知识。对单片机这门课程也有了更深的了解和更大的兴趣,使我们的知识更加巩固和完善了。另外,我要感谢我亲爱的同学们。是他们一直陪在我身边,和我并肩奋斗,使我有信心完成自己的工作。虽然这一周遇到了很多的困难,但感觉仍然是快乐的,当我的源程序设计出来时,觉得非常有成就感,可以说这次的成绩不只属于我自己,更多属于老师和同学的帮助。程序属于大家共同合作的结果,没有你们就没有这样一个完整的课程设计,我也尝不到这份成功的喜悦。最后,我要再次感谢老师和那些给予我帮助的同学们,是你们让我顺利完成了课程设计,增长了许多实践的经验,更体验到了大家团结互助的温暖。真的很感谢你们,希望在以后的

    18、生活中,大家能事事顺心,希望我们这个大家庭永远温暖如春。参考文献1 张俊谟 . SoC单片机原理与应用基于C8051 系列 . 北京:北京航空航天大学出版社,2007.52周立功 .单片机实验与实践 .北京:北京航空航天大学出版社,2004.83袁启昌,王宏宇 . 单片机应用实训教程 .北京:科学出版社,2006.24张迎辉,贡雪梅 .单片机实训教程 .北京:北京大学出版社,2005.9附录A1 总流程图 图六 总流程图A2 源程序多功能信号发生器的程序如下:$include(c8051f020.inc) org 00h ljmp main org 0093h ljmp intt6_isr o

    19、rg 009bh ljmp intt7_isr org 100hint6_init:orl eie2,#30h mov p3if,#00h setb ea retintt6_isr:mov p3if,#3fh djnz r7,z mov r7,#4 lcall delay1z: retiintt7_isr:mov p3if,#00h mov r5,#03h retimain: mov wdtcn,#0deh mov wdtcn,#0adh mov xbr2,#40h ;打开交叉开关 mov ref0cn,#03h ; DA转换初始化 mov dac0cn,#80h mov R7,#1 mov

    20、r5,#15 clr ea lcall int6_initQ1: CJNE R7,#1,Q2 lcall repzhengxianbo lcall zhengxianbo ljmp Q1Q2: CJNE R7,#02,Q3lcall repsanjiaobolcall sanjiaobo ljmp Q2Q3: CJNE R7,#03,Q4lcall repfangbolcall fangbo ljmp Q3Q4: CJNE R7,#04,Q1 lcall repjuchibo lcall juchibo ljmp Q4zhengxianbo: /正弦波 mov dptr,#sintabzloo

    21、p0: clr a movc a,a+dptr cjne a,#129,zloop1 ajmp zhengxianbozloop1: mov b,r5 mul ab mov dac0l,a mov dac0h,b inc dptr CJNE R7,#01H,DD1 ljmp zloop0DD1:RETsintab:DB 128,132,137,141,146,150,154,159,163,167 DB 171,176,180,184,188,191,195,199,203,206 DB 210,213,216,219,222,225,228,231,233,236 DB 238,240,24

    22、2,244,246,247,249,250,251,252 DB 253,254,254,255,255,255,255,255,254,254 DB 253,252,251,250,249,247,246,244,242,240 DB 238,236,233,231,228,225,222,219,216,213 DB 210,206,203,198,195,192,188,184,180,176 DB 172,167,163,159,155,150,146,141,137,133 DB 128,124,119,115,111,106,102,97,93,89,85 DB 81,77,73,

    23、69,65,61,57,54,50,47,43,40,37 DB 34,31,28,25,23,20,18,16,14,12,10,9,7 DB 6,5,4,3,2,2,1,1,1,1,1,2,2,3,4,5,6 DB 7,9,10,12,14,16,18,20,23,25,28,30,33,36 DB 40,43,46,50,53,57,60,64,68,72,76,80,84 DB 88,93,97,101,106,110,114,119,123,128,129sanjiaobo:/三角波sloop1:mov 30h,#00h mov 31h,#00hsloop2: mov a,30h a

    24、dd a,#05h mov dac0l,a mov 30h,a jnc sloop4 mov a,31h inc a mov 31h,a cjne a,#05h,sloop3 ljmp sloop5sloop3:mov a,31h ajmp sloop2sloop4:mov a,31h mov dac0h,a CJNE R7,#02,DD2 ajmp sloop2sloop5: mov 30h,#0ffh mov 31h,#04hsloop6:mov a,30h clr cy subb a,#05h mov 30h,a mov dac0l,a jnc sloop8 mov a,31h dec

    25、a mov 31h,a cjne a,#0ffh,sloop7 ljmp sloop2sloop7:mov dac0h,a ajmp sloop6sloop8:mov a,31h mov dac0h,a ajmp sloop6DD2 :retfangbo:/方波 mov dac0cn,#80h mov dac0l,#0ffh mov dac0h,#0fh lcall delay CJNE R7,#03,floop0 mov dac0l,#00h mov dac0h,#0h lcall delay CJNE R7,#03,floop0 ljmp fangbofloop0: retdelay: m

    26、ov r0,#10de1: mov r1,#10de2: mov r2,#10 djnz r2,$ djnz r1,de2 djnz r0,de1 retjuchibo: /锯齿波jloop0: mov 30h,#00h mov 31h,#00hjloop1: mov A,30h add A,#01h mov 30h,A mov dac0l,A jnc jloop3 mov A,31h inc A mov 31h,A cjne A,#10h,jloop2 ljmp jloop1jloop2: mov dac0h,Aajmp jloop1jloop3: mov A,31h mov dac0h,A

    27、 CJNE R7,#04,jloop4 ajmp jloop1jloop4: retrepzhengxianbo:LCALL DELAY2LCALL DELAY2LCALL DELAY2LCALL DELAY2LCALL DELAY2LCALL LCD_InitLCALL DELAY2MOV DPTR,#zhengxianbodataMOV R3,#0CHLine1:CLR AMOVC A,A+DPTRINC DPTRMOV P7,AMOV P6,#05HMOV P6,#04HLCALL DELAYDJNZ R3,Line1MOV P7,#0C0HMOV P6,#01HMOV P6,#0HRE

    28、TSYSCLK_Init:MOV OSCICN,#05HRETPORT_Init:CLR AMOV XBR0,AMOV P74OUT,#0F0HRETLCD_Init:LCALL DELAY2MOV P7,#38HMOV P6,#01HMOV P6,#0HLCALL DELAY2MOV P7,#0EHMOV P6,#01HMOV P6,#0HLCALL DELAY2MOV P7,#06HMOV P6,#01HMOV P6,#0HLCALL DELAY2MOV P7,#01HMOV P6,#01HMOV P6,#00HLCALL DELAY2LCALL DELAY2LCALL DELAY2LCA

    29、LL DELAY2LCALL DELAY2LCALL DELAY2RETzhengxianbodata:DB 2EH,7AH,68H,65H,6EH,67H,78H,69H,61H,6EH,62H,6FHrepsanjiaobo:LCALL DELAY2LCALL DELAY2LCALL DELAY2LCALL DELAY2LCALL DELAY2LCALL LCD_InitLCALL DELAY2MOV DPTR,#sanjiaobodataMOV R3,#0AHLine2:CLR AMOVC A,A+DPTRINC DPTRMOV P7,AMOV P6,#05HMOV P6,#04HLCALL DELAYDJNZ R3,Line2MOV P7,#0C0HMOV P6,#01HMOV P6,#0HRETsanjiaobodata:DB 2EH,73H,61H,6EH,6AH,69H,61H,6FH,62H,6FHrepfangbo:LCALL DELAY2LCALL DELAY2LCALL DELAY2LCALL DELAY2LCALL DELAY2LCALL LCD_InitLCALL DELAY2MOV DPTR,#fangbodataMOV R3,#07HLine3:CLR AMOVC A,A+DPTRINC DPTRMOV


    注意事项

    本文(多功能信号发生器课程设计.docx)为本站会员主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

    copyright@ 2008-2023 冰点文库 网站版权所有

    经营许可证编号:鄂ICP备19020893号-2


    收起
    展开