欢迎来到冰点文库! | 帮助中心 分享价值,成长自我!
冰点文库
全部分类
  • 临时分类>
  • IT计算机>
  • 经管营销>
  • 医药卫生>
  • 自然科学>
  • 农林牧渔>
  • 人文社科>
  • 工程科技>
  • PPT模板>
  • 求职职场>
  • 解决方案>
  • 总结汇报>
  • ImageVerifierCode 换一换
    首页 冰点文库 > 资源分类 > DOCX文档下载
    分享到微信 分享到微博 分享到QQ空间

    数字电子技术课程设计电子秒表的设计.docx

    • 资源ID:5460377       资源大小:792.28KB        全文页数:15页
    • 资源格式: DOCX        下载积分:3金币
    快捷下载 游客一键下载
    账号登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录
    二维码
    微信扫一扫登录
    下载资源需要3金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP,免费下载
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    数字电子技术课程设计电子秒表的设计.docx

    1、数字电子技术课程设计电子秒表的设计数字电子技术课程设计-电子秒表的设计数字电子技术课程设计课程设计题目:电子秒表的设计 摘要本文以数字电子技术作为理论基础、以quartus软件为开发平台、以相关电路知识作为辅助,实现电子秒表电路的设计和制作。该电子秒表可以准确显示时间,范围为00.0099.99。并且可以手动调节时间,随时启动、清零、暂停记录时间等。操作起来简易、方便。首先,本文针对电子秒表进行初步框架设计,并在对多种方案进行了认真比较和验证的基础上,又进一步详细介绍了时间脉冲发生器、秒计数器、译码及驱动显示电路。其次,在总体电路图组装完成以后,用quartus软件对设计好的电路进行了仿真与调

    2、试,并逐一解决设计过程中出现的一系列问题。最后,对照着电子秒表设计方案,对制作好的电子秒表功能进行总体验证。并利用学院的LB0开发板进行硬件仿真。关键词:电子秒表 计数器 分频 quartus、1引言1.1设计目的 1)掌握同步计数器74160,74161的使用方法,并理解其工作原理。2)掌握用74160,74161进行计数器、分频器的设计方法。3)掌握用三态缓冲器74244和74160,74138,7448进行动态显示扫描电路设计的方法。4)掌握电子秒表的设计方法。5)掌握在EDA系统软件MAX + plus 环境下用FPGA/CPLD进行数字系统设计的方法,掌握该环境下功能仿真、时序仿真、

    3、管脚锁定和芯片下载的方法。6)掌握用EDA硬件开发系统进行硬件验证的方法。1.2技术要求1.2.1基本要求1计时精度不小于1/100秒;2输入时钟:1 kHz;3计时器最长计时为1小时;4具有复位和启/停开关;5显示控制:动态6位七段LED显示,位选以3位编码输出。要求显示稳定,扫描显示的频率大于50Hz;6完成硬件验证调试工作。1.2.2提高要求增加整分报时功能,即每分钟以蜂鸣器报时1次(1秒钟)。 1.3设计内容1) 设计可控的计数器(定时器)、分频器、按键去抖电路和动态扫描显示电路;2) 设计系统顶层电路;3) 进行功能仿真和时序仿真;4) 对仿真结果进行分析,确认仿真结果到达了设计要求

    4、;5) 在EDA硬件开发系统上进行硬件验证与测试,确保设计电路系统能正确的工作。1.4工作原理电子秒表的输入时钟为1kHz,将其十分频后得到100Hz信号,再将100Hz的信号作为标准信号进行计数,则计数值的分辨率为1/100秒,正好满足系统的要求。计数器分为3级,第1级是一百进制计数器作1/100秒的计数,第2级是六十进制计数器作秒的计数,第3级是六十进制计数器作分的计数。电子秒表的计数受控制模块的控制,控制模块接收“起/停”按键的输入,当计数停止时,接收到“起/停”按键则启动计数;当正在计数时,接收到“起/停”按键则停止计数。所以“起/停”键是一个反复键。为了保证系统操作的可靠性,设计了一

    5、个按键去抖动电路。2设计框图图1 电子秒表构成框图3各个部分功能简介3.1按键去抖电路 按键去抖电路keyin模块如图2所示。任何按键在触点接触和断开的瞬间都会产生机械抖动,如果不进行处理,每一次按键有可能产生若干次的响应,一般抖动的时间小于20ms。Keyin模块能完成对输入信号的去抖动处理,它利用两个串接的边沿D触发器来消除高频抖动,当在CLK端输入一个频率为25Hz的方波信号时,其输出信号就能得到宽度固定为20ms的单脉冲信号。图3是仿真波形,从图中可见,存在于输入信号上的抖动被完全的消除了。图4是keyin的模块逻辑连接图。图2 keyin逻辑模块图图3 keyin的工作时序图3.2控

    6、制器电路控制器ctrl模块如图所示。它在“启/停”信号ST_ST和复位信号CLR的作用下完成对计数使能信号CNTEN的控制。任何时候只要CLR=1,则CNTEN=0,所以它是异步清零;ST_ST是一个反复键,当CLR无效时,每一个ST_ST脉冲都会使CNTEN反向,该信号用于控制计时器的计时,当取值为1时允许计时器计时,当取值为0时不允许计时器计时。图图4 keyin逻辑模块连接图 图5 ctrl逻辑模块图图6 ctrl逻辑模块连接图图7 ctrl的工作时序图3.3时钟产生电路时钟产生电路clkgen模块如图所示。它完成对输入时钟信号分频,并输出四十分频信号DIV_40和十分频信号DIV_10

    7、,即25Hz去抖动时钟信号和100Hz计时器标准计时信号。图8 clkgen逻辑电路图图9 clkgen的工作时序图图10 clkgen模块的逻辑连接图3.4计时电路计时电路cntblk模块如图所示。它在控制信号cnten和clr的作用下完成对输入的clk信号进行计数。由于clk信号时标准100Hz信号,因此一百进制计数器的进位输出就是1秒,对秒进行六十进制计数就得到1分,对分又进行六十进制计数,所以最大计数值59:59.99,因起始值是00:00.00,故其最大的计时长度为1小时。Cntblk模块将输出计时结果。仿真中,采用并行的方法,用6片74160计数器和相应的门电路接成计数范围为09分

    8、59秒99毫秒的计数器,并用两个开关分别控制计数器的EPET和CLRN,分别实现暂停/继续计数功能和清零复位功能。图11 cntblk逻辑电路图图12 cntblk模块的逻辑连接图3.5显示译码电路资源不足的情况下,需采用动态扫描的方式实现时间显示。在动态方式下,所有的数码管对应同一组七段码,每一个数码管由一个选择端控制点亮或熄灭,如果全部点亮,则都显示相同的数字。若要实现6位不同时间的显示,则需要利用人的视觉缺陷。即,在6个不同的时间段分别将每组时间经过七段译码后输出到6个数码管,当某一组时间的七段码到来时,只点亮相应位置的数码管,6次一个循环,形成一个扫描序列。只要扫描频率超过人眼的视觉暂

    9、留频率(24HZ)。就可以达到点亮单个数码管,却能享有6个同时显示的视觉效果,人眼辨别不出差别,而且扫描频率越高,显示越稳定。显示译码电路disp模块如图所示。该模块有三个功能,首先它完成对输入的6组向量信号的选择,其中被选择的信号由S2.0决定,即当S2.0=“00”时,选中A,“01”时选中B,“05”时选中F;其次它还对选中的信号进行BCD-七段显示的译码;再次要完成位选信号的产生和输出。Disp模块中位选信号的产生只用了一个74161接成6进制计数器即可,为了实现对输入的6个信号的选择再用一个74138将六进制计数器的计数值译码输出。数据的选择部分用了3个74244,利用它的三态功能实

    10、现该部分电路。图13 disp逻辑电路图图14 disp模块的逻辑连接图3.6 50000分频电路由于电子秒表的分辨率是0.01秒,所以需要将50MHZ的信号通过74292分频成为100HZ的信号,将该信号作为时钟的输入信号。50000分频电路的VHDL语言:library ieee; -定义库文件use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity div_50000 is -定义实体部分port( -定义端口 clk:in std_logic; clk_

    11、fp:out std_logic);end entity;architecture one of div_50000 is -定义结构体部分signal n:integer range 0 to 24999;signal cp:std_logic;beginprocess(clk) beginif clkevent and clk=1 then -该句话指明当clk为上升沿的时候进行触发 if n24999 then n=n+1; else n=0;cp=not cp;end if;end if;end process;clk_fp=cp;end one;图15 50000分频模块的逻辑连接图

    12、4硬件仿真4.1顶层逻辑图4.2LB0介绍LB0 以ALTERA 公司的Cyclone系列FPGA EP3C10E144C8 为核心器件,板载串行配置芯片EPCS4、 32M 的SDRAM 以及50M晶振,板上接口丰富。4.3硬件仿真起始状态:计时至59秒时的状态: 计时到1分35秒后暂停了:按键清零:当计数到59分59秒99度后,计数重新跳转到00分00秒00度的状态重新计数。5课程设计的心得体会这次课程设计做的十分不易,投入了很多时间,不过收获也非常大。我非常感谢这次机会,让我提前接触到芯片,这些都可能是我以后学习乃至工作中需要用到的东西,提前接触他,让我很有热情,对自己所学和后面的学习生

    13、活中需要掌握的知识有了新的认识。在此次的电子秒表的设计过程中,我更进一步地熟悉了芯片的结构及掌握了各芯片的工作原理和其具体的使用方法。也锻炼了自己独立思考问题的能力和通过查看相关资料来解决问题的习惯。虽然这只是一次简单的课程设计,但通过这次课程设计我们了解了课程设计的一般步骤,和设计中应注意的问题,同时我们也掌握了做设计的基本流程,为我们以后进行更复杂的设计奠定了坚实的基础。设计本身并不是有很重要的意义,而是同学们对待问题时的态度和处理事情的能力。至于设计的成绩无须看的太过于重要,而是设计的过程,设计的思想和设计电路中的每一个环节,电路中各个部分的功能是如何实现的。各个芯片能够完成什么样的功能,使用芯片时应该注意那些要点。在这次设计过程中,我也对Quartus等软件有了更进一步的了解,这使我在以后的工作中更加得心应手。 参考文献1何伟 现代数字系统实验及设计 重庆大学出版社 20052杨欣 王玉凤 电子设计从零开始 清华大学出版社 20053黄仁欣 电子技术实践与训练 清华大学出版社 20044阎石 数字电子技术基础 高等教育出版社 20085李洪伟 基于QuartusII的FPGA/CPLD设计 电子工业出版社 2006.6周润景 基于QuartusII的FPGA/CPLD数字系统设计实例 电子工业出版社2007附 录


    注意事项

    本文(数字电子技术课程设计电子秒表的设计.docx)为本站会员主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

    copyright@ 2008-2023 冰点文库 网站版权所有

    经营许可证编号:鄂ICP备19020893号-2


    收起
    展开