欢迎来到冰点文库! | 帮助中心 分享价值,成长自我!
冰点文库
全部分类
  • 临时分类>
  • IT计算机>
  • 经管营销>
  • 医药卫生>
  • 自然科学>
  • 农林牧渔>
  • 人文社科>
  • 工程科技>
  • PPT模板>
  • 求职职场>
  • 解决方案>
  • 总结汇报>
  • ImageVerifierCode 换一换
    首页 冰点文库 > 资源分类 > DOCX文档下载
    分享到微信 分享到微博 分享到QQ空间

    石经院多进制数字振幅调制系统设计Word格式.docx

    • 资源ID:4416584       资源大小:72.29KB        全文页数:9页
    • 资源格式: DOCX        下载积分:3金币
    快捷下载 游客一键下载
    账号登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录
    二维码
    微信扫一扫登录
    下载资源需要3金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP,免费下载
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    石经院多进制数字振幅调制系统设计Word格式.docx

    1、1、应用通信类软件完成通信系统相关内容的设计和建模,并仿真出正确结果,对仿真波形加以重点分析和说明。2、按要求格式书写报告,原理充分、设计方法及仿真结果分析正确、条理清晰、重点突出。三、实习内容(1)实习题目 多进制数字振幅调制系统设计(2)设计原理 多进制数字振幅调制又称多电平振幅调制,它用高频载波的多种振幅去代表数字信息。 上图为四电平振幅调制,高频载波有u0(t)、u1(t)、u2(t)、u3(t)四种。 振幅为0、1A、2A、3A,分别代表数字信息0、1、2、3或者双比特二进制输入信息 00、01、10、11 进行振幅调制。 已调波一般可表示为 g(t)是高度为1、宽度为TS的矩形脉冲

    2、,且有为易于理解,将波形上图 所示。显然图(c)中各波形的叠加便构成了图(b)的波形。 由上图可见,M进制ASK信号是M个二进制ASK信号的叠加。 那么,MASK信号的功率谱便是 M个二进制ASK信号功率谱之和。 因此,叠加后的MASK信号的功率谱将与每一个二进制ASK信号的功率谱具有相同的带宽。 所以其带宽(3)设计方法MASK信号的产生 MASK信号与二进制ASK信号产生的方法相同,可利用乘法器实现。解调也与二进制ASK信号相同,可采用相干解调和非相干解调两种方式。M进制振幅调制方框图 :实现多电平调制的方框原理如上图所示,它与二进制振幅调制的方框原理非常相似。不同之处是在发信输入端增加了

    3、2M电平变换,相应在接收端应有M2电平变换。另外该电路的取样判决器有多个判决电平,因此多电平调制的取样判决电路比较复杂。实际系统中,取样判决电路可与M2电平变换合成一个部件,它的原理类似于A D变换器。多电平解调与二进制解调相似,可采用包络解调或同步解调。 多进制数字振幅调制与二进制振幅调制相比有如下特点:(1)在码元速率相同的条件下,信息速率是二进制的log2M倍。(2)当码元速率相同时,多进制振幅调制带宽与二进制相同。(3)多进制振幅调制的误码率通常远大于二进制误码率。当功率受限时,M越大,误码增加越严重。(4)多进制振幅调制不能充分利用发信机功率。MASK调制方框图:MASK调制电路符号

    4、(4)仿真结果及分析MASK调制VHDL程序与仿真 -文件名:MASK-功能:基于VHDL硬件描述语言,对基带信号进行MASK调制-说明:这里MASK中的M为4library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity MASK isport(clk:instd_logic; -系统时钟start: -开始调制信号 x: 基带信号 y:out std_logic_vector(7 downto 0); -8位DAC数据end MASK;a

    5、rchitecture behav of MASK issignal q:integer range 0 to 7; -计数器signal qq:integer range 0 to 3;signal xx:std_logic_vector(3 downto 0); -并行数据寄存器signal yy:std_logic_vector(7 downto 0); -8位DAC数据寄存器beginprocess(clk) -此进程完成基带信号的串并转换,-完成4位并行数据到8位DAC数据的译码if clkevent and clk=1 then if start=0 then q=0; elsif

    6、 q=0 then q=1;xx(3)=x; if xx(3)= then yy=xx&1111; -if语句完成4位并行数据到8位DAC数据转换 elsif xx(2)=1011 elsif xx(1)=0111 elsif xx(0)=0011 else yy0000 end if; elsif q=2 then q=3;xx(2) elsif q=4 then q=5;xx(1) elsif q=6 then q=7;xx(0) else q=q+1;end if;end process;process(clk) -对8位DAC数据进行ASK调制 then then qq elsif q

    7、q2 then qq=qq+1;y=00000000 elsif qq=2 then qq=yy; else qqend behav;(5) 结论MASK调制程序仿真图及注释 四、参考文献(1)邓勇、周择、邓斌著数字电路设计完全手册.国防工业出版社。2004;(2)朱正伟著EAD技术及应用.清华大学出版社。2005;(3)全国大学生电子设计组委会著电子系统设计实践2005;(4)林明权著VHDL数字控制系统设计范例. 电子工业出版社(5)冯涛著可编程逻辑器件开发技术MAXplus入门与提高 人民邮电出版社(6)王毓银著数字电路逻辑设计 高等教育出版社(7)赵俊超著集成电路设计VHDL语言教程

    8、北京希望出版社五、实习体会 这次课程设计与以往不同,不再是动手焊接制作一个实物,我们做的是编程。我选的题目是基于VHDL的多进制数字振幅调制系统设计。在编程之前,我们先复习EDA、数字信号处理、信息论与编码,还从网上查了大量的资料。第一次编的程序存在很在很多的错误,比如定义和文件名不一样,标点符号错误等等根据错误提示我们一个一个的修正,编译只是第一步,编译完了,仿真的时候依然出现了问题,通过又一次分析,我们终于成功的完成了。这次课程设计,我懂得了光学会了课本上的知识是远远不够的。通过三周的课程设计,我们不仅巩固了课本知识,增强了编程的能力,还为下学期的毕业设计打下了良好的基础。六、实习效果评价指导教师评语:实习成绩: 优 良 中 及格 不及格 指导教师签名: 年 月 日


    注意事项

    本文(石经院多进制数字振幅调制系统设计Word格式.docx)为本站会员主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

    copyright@ 2008-2023 冰点文库 网站版权所有

    经营许可证编号:鄂ICP备19020893号-2


    收起
    展开