欢迎来到冰点文库! | 帮助中心 分享价值,成长自我!
冰点文库
全部分类
  • 临时分类>
  • IT计算机>
  • 经管营销>
  • 医药卫生>
  • 自然科学>
  • 农林牧渔>
  • 人文社科>
  • 工程科技>
  • PPT模板>
  • 求职职场>
  • 解决方案>
  • 总结汇报>
  • ImageVerifierCode 换一换
    首页 冰点文库 > 资源分类 > DOCX文档下载
    分享到微信 分享到微博 分享到QQ空间

    EDA花样流水灯文档格式.docx

    • 资源ID:392038       资源大小:173.84KB        全文页数:25页
    • 资源格式: DOCX        下载积分:1金币
    快捷下载 游客一键下载
    账号登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录
    二维码
    微信扫一扫登录
    下载资源需要1金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP,免费下载
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    EDA花样流水灯文档格式.docx

    1、 library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY first ISPORT ( clk : IN std_logic; rst : c : OUT std_logic_vector(7 DOWNTO 0) );END first;ARCHITECTURE arch OF first IS CONSTANT state0 : std_logic_vector(2 DOWNTO 0) := 000; CONSTANT state1

    2、 :001 CONSTANT state2 :010 CONSTANT state3 :011 CONSTANT state4 :100 CONSTANT state5 :101 CONSTANT state6 :110 CONSTANT state7 :111 SIGNAL state : std_logic_vector(2 DOWNTO 0); SIGNAL cnt :BEGIN PROCESS(clk,rst) BEGIN IF (NOT rst = 1) THEN state = state0; cnt = state1; WHEN state1 = state2; WHEN sta

    3、te2 = state3; WHEN state3 = state4; WHEN state4 = state5; WHEN state5 = state6; WHEN state6 = state7; WHEN state7 = WHEN OTHERS =NULL; END CASE; END IF; END PROCESS; PROCESS(state) BEGIN CASE state IS c 1010101001010101END arch;3.2 library IEEE;ENTITY second IS PORT ( );END second;ARCHITECTURE arch

    4、OF second IS10000000010000000010000000010000000010000000010000000010000000013.3ENTITY third ISEND third;ARCHITECTURE arch OF third ISNULL3.4 library ieee;use ieee.std_logic_1164.all;entity sanba isport(a,b,c:in std_logic; y7,y6,y5,y4,y3,y2,y1,y0:out std_logic);end entity sanba;architecture behav of sanba issignal abc: std_logic_vector(2 downto 0);beginabc y0=0y1y2y3y4y5y6y7 end case; end process;end architecture behav;3.5 library IEEE;1100000001100000 c 00110000000110000000110000000110000000113.6 library IEEE;


    注意事项

    本文(EDA花样流水灯文档格式.docx)为本站会员主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

    copyright@ 2008-2023 冰点文库 网站版权所有

    经营许可证编号:鄂ICP备19020893号-2


    收起
    展开