欢迎来到冰点文库! | 帮助中心 分享价值,成长自我!
冰点文库
全部分类
  • 临时分类>
  • IT计算机>
  • 经管营销>
  • 医药卫生>
  • 自然科学>
  • 农林牧渔>
  • 人文社科>
  • 工程科技>
  • PPT模板>
  • 求职职场>
  • 解决方案>
  • 总结汇报>
  • ImageVerifierCode 换一换
    首页 冰点文库 > 资源分类 > DOCX文档下载
    分享到微信 分享到微博 分享到QQ空间

    基于VHDL的数显抢答器毕业设计.docx

    • 资源ID:2745784       资源大小:408.64KB        全文页数:52页
    • 资源格式: DOCX        下载积分:3金币
    快捷下载 游客一键下载
    账号登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录
    二维码
    微信扫一扫登录
    下载资源需要3金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP,免费下载
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    基于VHDL的数显抢答器毕业设计.docx

    1、基于VHDL的数显抢答器毕业设计指导教师评阅书指导教师评价:一、撰写(设计)过程1、学生在论文(设计)过程中的治学态度、工作精神 优 良 中 及格 不及格2、学生掌握专业知识、技能的扎实程度 优 良 中 及格 不及格3、学生综合运用所学知识和专业技能分析和解决问题的能力 优 良 中 及格 不及格4、研究方法的科学性;技术线路的可行性;设计方案的合理性 优 良 中 及格 不及格5、完成毕业论文(设计)期间的出勤情况 优 良 中 及格 不及格二、论文(设计)质量1、论文(设计)的整体结构是否符合撰写规范? 优 良 中 及格 不及格2、是否完成指定的论文(设计)任务(包括装订及附件)? 优 良 中

    2、及格 不及格三、论文(设计)水平1、论文(设计)的理论意义或对解决实际问题的指导意义 优 良 中 及格 不及格2、论文的观念是否有新意?设计是否有创意? 优 良 中 及格 不及格3、论文(设计说明书)所体现的整体水平 优 良 中 及格 不及格建议成绩: 优 良 中 及格 不及格(在所选等级前的内画“”)指导教师: (签名) 单位: (盖章)年 月 日评阅教师评阅书评阅教师评价:一、论文(设计)质量1、论文(设计)的整体结构是否符合撰写规范? 优 良 中 及格 不及格2、是否完成指定的论文(设计)任务(包括装订及附件)? 优 良 中 及格 不及格二、论文(设计)水平1、论文(设计)的理论意义或对

    3、解决实际问题的指导意义 优 良 中 及格 不及格2、论文的观念是否有新意?设计是否有创意? 优 良 中 及格 不及格3、论文(设计说明书)所体现的整体水平 优 良 中 及格 不及格建议成绩: 优 良 中 及格 不及格(在所选等级前的内画“”)评阅教师: (签名) 单位: (盖章)年 月 日教研室(或答辩小组)及教学系意见教研室(或答辩小组)评价:一、答辩过程1、毕业论文(设计)的基本要点和见解的叙述情况 优 良 中 及格 不及格2、对答辩问题的反应、理解、表达情况 优 良 中 及格 不及格3、学生答辩过程中的精神状态 优 良 中 及格 不及格二、论文(设计)质量1、论文(设计)的整体结构是否符

    4、合撰写规范? 优 良 中 及格 不及格2、是否完成指定的论文(设计)任务(包括装订及附件)? 优 良 中 及格 不及格三、论文(设计)水平1、论文(设计)的理论意义或对解决实际问题的指导意义 优 良 中 及格 不及格2、论文的观念是否有新意?设计是否有创意? 优 良 中 及格 不及格3、论文(设计说明书)所体现的整体水平 优 良 中 及格 不及格评定成绩: 优 良 中 及格 不及格(在所选等级前的内画“”)教研室主任(或答辩小组组长): (签名)年 月 日教学系意见:系主任: (签名)年 月 日基于VHDL语言的八路数字抢答器系统的设计 摘要 本设计使用VHDL语言设计一个八路数字抢答器系统。

    5、VHDL是一种全方位的硬件描述语言,几乎覆盖了以往各种硬件描述语言的功能,整个自顶向下或自底向上的电路设计过程都可以用VHDL来完成。本文阐述了EDA的概念和发展、VHDL语言的优点和语法结构并分析讲解了八路数字抢答器的各模块的功能要求、基本原理以及实现方法。本系统的设计就是采用VHDL硬件描述语言编程,基于MAX-PLUS II平台进行编译和仿真来实现的,其采用的模块化、逐步细化的设计方法有利于系统的分工合作,并且能够及早发现各子模块及系统中的错误,提高系统设计的效率。本设计主要的功能是:1.对第一抢答信号的鉴别和锁存功能;2. 抢答成功声音报警;3.数码显示抢答成功组别;4. 答题限时功能

    6、。关键词 电子设计自动化(EDA);VHDL语言;抢答器The Design of the 8 Digital Vies to Answering SnatchesBased on VHDLXXXX(Grade 07,Class 6,Major electronics and information engineering ,Electronics and information engineering Dept.,XXXXX University of Technology,XXXXXXX,XXXXTutor: XXXXXXAbstract This design is the 8 digi

    7、tal vies to answering snatches based on VHDL.VHDL is a kind of hardware description language, which is all-rounds, nearly covers the function of each other kind of hardware description language .Both the entire top-down and bottom-Up circuit design process could be accomplished by VHDL. This article

    8、 elaborates the concept and development of EDA, explains the advantages and grammar structure of VHDL, meanwhile, analyses the function request, the basic principle as well as the method of accomplishment of each parts. This systems design programmers in the VHDL compiled and emulated basing on MAX-

    9、PLUS II platform of Altera. Using the modulation, and the gradually detailing design method is of great benefit for the systems division of labor and cooperation, besides, the usage of this method can detect errors, as early as possible, in several of sub modules and system, enhancing the efficiency

    10、 of the system design. The main features of this design are: 1. accurately identification of the signal of the first answer and latching this signal; 2. snatches the answering successful and voice alarm 3. Digital display faction 4.the time limited function.Key words: EDA; VHDL; the Answering snatch

    11、es1 引言如今社会智力竞赛是“快乐学习”这一教育模式的典范,它采用在规定的一段时间内抢答和必答等方式,在给人们的生活带来乐趣的同时,也使参与者和观众在愉悦的氛围中学到一些科学知识和生活知识,因此很受大家的喜欢。数字抢答器在智力竞赛中起到很重要的角色,能够准确、公正、直观地判断出首轮抢答者,并且通过抢答器的数码显示和警示蜂鸣等方式指示出首轮抢答者。1.1 EDA技术的设计优势传统的设计方法采用自底向上的设计方法,一般先按电子系统的具体功能要求进行功能划分,然后对每个子模块画出真值表,用卡诺图进行手工逻辑简化,写出布尔表达式,画出相应的逻辑线路图,再据此选择元器件,设计电路板,最后进行实测与调试

    12、,由于无法进行硬件系统功能仿真,如果某一过程存在错误,查找和修改十分不便,所以这是一种费时、费力的设计方法,而现代电子设计技术(EDA)是自顶向下且先进高效的。VHDL是一种全方位的硬件描述语言,几乎覆盖了以往各种硬件描述语言的功能,整个自顶向下或自底向上的电路设计过程都可以用VHDL来完成。本系统的设计就是采用VHDL硬件描述语言编程,基于MAX-PLUS II平台进行编译和仿真来实现的,其采用的模块化、逐步细化的设计方法有利于系统的分工合作,并且能够及早发现各子模块及系统中的错误,提高系统设计的效率。在电子产品的设计理念、设计方式、系统硬件构成、设计的重用性、知识产权、设计周期等方面,ED

    13、A技术具有一定的优势。所以本次设计的抢答器抛弃了传统的设计方法,选择了采用主流的EDA技术进行设计。1.2 论文结构第一章 引言部分,介绍了该课题的发展前景、现状以及EDA技术的设计优势等;第二章 方案的论证与选择;第三章 概述整个系统设计的软件平台;第四章 详细介绍用VHDL语言对8路数字抢答器的各个模块设计和实现;第五章 系统总体的仿真。2 系统方案的论证和选择本课题的实现可以通过不同的方法来进行,第一种使用单元集成电路实现,第二种使用硬件描述语言(VHDL语言)实现。第一种方法实现的大体的思路如下图所示:图2-1 数字抢答器框图第二种方法实现的思路可以用下面的框图来说明:图2-2 基于V

    14、HDL语言实现数字抢答器框图以上两种实现方法中,第一种方法电路较复杂不便于制作,可靠性低,实现起来很困难;而第二种方法只需要软件仿真出来,在一块EDA实验箱上就能实现,制作简单,而且成本低。综合比较之下,我决定采用第二种方案实现本课题。根据第二种方案的的框图所示,整个系统分为以下几个模块来分别实现:1抢答判别模块:它的功能是鉴别八组中是那组抢答成功并且把抢答成功的组别信号输出给锁存模块。2复位控制模块:给节目主持人设置一个控制开关,用来控制系统的清零和抢答的开始。3锁存模块:该电路的作用是当第一个抢答者抢答后,对第一个抢答者的组别进行锁存并显示在数码管上,后面的抢答者信号全都无响应,直到主持人

    15、按下复位键。4显示报警模块:就是把各个模块的输入的不同信号经过译码成BCD码然后直接在数码管上显示,还可以加上蜂鸣器的声音,更能给观众一个准确、简明的数字。5在以上功能实现后,还可以扩展实现一些其他功能,比如答题倒计时等功能。本课题采用VHDL语言编写应用程序并调试通过,在MAX+PLUS II软件中仿真并分析仿真波形。3 系统的设计平台概述此次设计是按照自顶向下的设计方法,对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集成电路(ASIC)实现,然后采用硬件描述语言(VHDL)完成系统行为级设计,最后通过综合器和适配器生成最终的目标器件。3.1 传统和现代的数字系统设计方法比

    16、较传统的数字系统设计方法:基于电路板的设计方法采用固定功能的器件(通用型器件),通过设计电路板来实现系统功能,在系统硬件设计的后期进行仿真和调试 。现代的数字系统设计方法:基于芯片采用PLD,利用EDA开发工具,通过芯片设计来实现系统功能,在系统硬件设计的早期进行仿真。此系统的设计就是采用的现代的数字系统设计方法EDA的设计方法。其与传统的设计方法的具体优劣比较如下表:表3-1 传统设计方法与EDA设计方法的比较传统设计方法EDA设计方法自底向下自顶向下手动设计自动设计软硬件分离打破软硬件屏障原理图设计方式原理图、HDL等设计方式系统功能确定系统功能易改不易仿真易仿真难测试和修改易测试和修改模

    17、块难移植和共享模块可移植共享设计周期长设计周期短由以上对比图可知,数字抢答器的设计采用自顶向下的设计方法较优。而传统的电子设计的基本思路还是选择标准集成电路自底向上(Bottom-Up)地构造出一个新的系统,这样的设计方法就如同一砖一瓦地建造金字塔,不仅效率低、成本高而且还容易出错。3.2 硬件描述语言(VHDL)概述硬件描述语言(HDL-Hardware Description Language)是一种用于设计硬件电子系统的计算机语言,早期的硬件描述语言,如ABEL-HDL、AHDL,是由不同的EDA厂商开发的,互相不兼容,而且不支持多层次设计,层次间翻译工作要由人工完成。为了克服以上缺陷,

    18、1985年美国国防部正式推出VHDL(Very High Speed IC Hardware Description Language)语言,1987年IEEE采纳VHDL为硬件描述语言标准(IEEE STD-1076)。VHDL用软件编程的方式来描述电子系统的逻辑功能、电路结构和连接形式,即可以利用软件工具将VHDL源码自动地转化为文本方式表达的基本逻辑元件连接图,即网表文件。与传统的门级描述方式相比,它更适合大规模系统的设计,而且VHDL语言可读性强,易于发现和修改错误。例如在智能抢答器的设计中,我们可以用简单的几个语句完成对整个系统的结构组成以及功能的描述及设计,同时,设计采用自顶向下的

    19、设计方法,这种模块化、逐步细化的方法有利于系统的分工合作。用VHDL对系统进行设计,可以在电子设计的各个阶段、各个层次对于编辑好的程序,在Altera公司提供的Max-plus II平台上进行编译、仿真,然后通过时序图可以看出了此编程是否符合预想的设计功能,如果不符合,在硬件测试前就可以及早发现各子模块及系统中的错误,这样的设计特点无疑将提高系统设计的效率。除此之外,用VHDL进行电子系统设计的一个很大的优点是设计者可以专心致力于其功能的实现,而不需要对不影响功能的与工艺有关的因素花费过多的时间和精力。3.3 硬件平台Max-plus概述Max-plus是Altera公司提供的FPGA/CPL

    20、D开发集成环境,Altera是世界上最大可编程逻辑器件的供应商之一。Max-plus界面友好,使用便捷,被誉为业界最易用易学的EDA软件。在Max-plus上可以完成设计输入、元件适配、时序仿真和功能仿真、编程下载整个流程,它提供了一种与结构无关的设计环境,是设计者能方便地进行设计输入、快速处理和器件编程。Max-plus开发系统的特点:1、开放的界面Max-plus支持与Cadence,Exemplar logic,Mentor Graphics,Simplicity,View logic和其它公司所提供的EDA工具接口。2、与结构无关Max-plus系统的核心Complier支持Alter

    21、a公司的FLEX10K、FLEX8000、FLEX6000、MAX9000、MAX7000、MAX5000和Classic可编程逻辑器件,提供了世界上唯一真正与结构无关的可编程逻辑设计环境。3、完全集成化Max-plus的设计输入、处理与较验功能全部集成在统一的开发环境下,这样可以加快动态调试、缩短开发周期。4、丰富的设计库Max-plus提供丰富的库单元供设计者调用,其中包括74系列的全部器件和多种特殊的逻辑功能(Macro-Function)以及新型的参数化的兆功能(Mage-Function)。5、模块化工具设计人员可以从各种设计输入、处理和较验选项中进行选择从而使设计环境用户化。6、硬

    22、件描述语言(HDL)Max-plus软件支持各种HDL设计输入选项,包括VHDL、Verilog HDL和Altera自己的硬件描述语言AHDL。4 数字抢答器系统的设计与实现4.1 抢答器系统设计要求 一般来说,设计一台数字抢答器,必须能够准确判断出第一位抢答者,并且通过数显、蜂鸣这些途径能让人们很容易得知谁是抢答成功者,并设置一定的回答限制时间,让抢答者在规定时间内答题,主持人根据答题结果控制抢答器的清零复位,掌握比赛的进程。所以我在设计8路数字抢答器的模块需要满足鉴别、抢答报警、回答倒计时、数显等功能,具体设计要求如下:(1)抢答器可容纳八组选手,并为每组选手设置一个按钮供抢答者使用;为

    23、主持人设置一个控制按钮,用来控制系统清零(组别显示数码管灭灯)和抢答开始;(2)电路具有对第一抢答信号的锁存、鉴别和显示等功能。在主持人将系统复位并发出抢答指令后,若参赛选手按下抢答按钮,则该组别的信号立即被锁存,并在组别显示器上显示该组别,同时扬声器也给出音响提示,此时,电路具备自锁功能,使其他抢答按钮不起作用。(3)抢答器具有限时回答问题的功能,当主持人启动倒计时开始键后,要求计时器采用倒计时,同时最后计时器倒计时到00时扬声器会发出声响提示;4.2系统总体设计思想 本设计为八路数字抢答器,所以这种抢答器要求有八路不同组别的抢答输入信号,并能识别最先抢答的信号,直观地通过数显和蜂鸣等方式显

    24、示出组别;对回答问题所用的时间进行计时、显示、超时报警,同时该系统还应有复位、倒计时启动功能。抢答过程:主持人按下系统复位键(CLR),系统进入抢答状态,计时模块输出初始信号给数码显示模块并显示出初始值。当某参赛组抢先将抢答键按下时,系统将其余七路抢答信号封锁,同时扬声器发出声音提示,组别显示模块送出信号给数码显示模块,从而显示出该抢答成功组台号,并一直保持到主持人将系统清零为止。主持人对抢答结果进行确认,随后,计时模块送出倒计时计数允许信号,开始回答问题,计时显示器则从初始值开始以计时。计时至0时,停止计时,扬声器发出超时报警信号,以中止未回答完问题。若参赛者在规定时间内回答完问题,主持人可

    25、给出倒计时计数停止信号,以免扬声器鸣叫。主持人按下复位键,即CLR为低电平有效状态,清除前一次的抢答组别,又可开始新的一轮的抢答。此抢答器的设计中采用自顶向下的设计思路,运用VHDL硬件描述语言对各个模块进行层次化、系统化的描述,并且先设计一个顶层文件,再把各个模块连接起来系统的总体框图如下:图4-2-1系统的总体框图4.3子模块的设计思想和实现根据对抢答器的功能要求,把要设计的系统划分为六个功能模块:抢答信号鉴别模块、锁存模块、编码模块、计时模块、译码显示模块和扬声器控制电路,具体的说,显示模块又包含最先抢答的组别显示电路、计时值显示电路。4.3.1 鉴别模块的设计与实现抢答鉴别模块用来准确

    26、直观地判断S1、S2、S3、S4、S5、S6、S7、S8八组抢答者谁最先按下按钮,并为显示端送出信号,通过数显和蜂鸣等途径使观众能够清楚地知道是哪一组抢答成功,是整个系统的核心部分。同时组别显示端为下一模块输入信号,以方便主持人为该组抢答成功者进行下一步的操作。抢答鉴别模块的元件图如下图所:图4-3-1 鉴别模块元件框图引脚作用:输入信号:各组的抢答按钮S1、S2、S3、S4、S5、S6、S7、S8,系统清零信号CLR,反馈使能端OE。输出信号: 各组的抢答按钮显示端q1,q2,q3,q4,q5,q6,q7,q8。工作原理:第一个按下键的小组,抢答信号判定模块QDJB通过缓冲输出信号的反馈将本

    27、参赛组抢先按下按键的信号锁存,并且以异步清零的方式将其他参赛组的按键信号屏蔽,显示组别直到主持人对系统进行清零操作时为止。当CLR=1时系统复位,抢答被屏蔽;当CLR=0时,即低电平有效,且OE为低电平时,使其进入抢答鉴别状态,到CLK的上升沿到来时,以S1组抢答成功为例,当输入信号为S1=1,S2=0,S3=0,S4=0,S5=0,S6=0,S7=0,S8=0,即为鉴别出S1组抢答成功,同时屏蔽其他组的输入信号,以免发生错误。同理其他组别抢答成功也是这样的鉴别过程。部分源程序如下所示:IF (CLR =1) THENQ1=0; Q2=0; Q3=0; Q4=0; Q5=0; Q6=0; Q7

    28、=0; Q8=0; 当清零端有效时,所有的输出赋值为0ELSIF (OE=0) THENQ1=S1; Q2=S2; Q3=S3; Q4=S4; Q5=S5; Q6=S6; Q7=S7; Q8=S8; 当清零无效,且OE为0时,将输入赋给输出原理框图如下:图4-3-2 抢答鉴别模块原理图该模块在Max-plus II软件中的仿真结果图如下图:图4-3-3 鉴别模块仿真图4.3.2 锁存反馈模块的设计与实现当抢答鉴别模块成功将各个抢答组的抢答信号输出后后,必须由锁存电路来将抢答信号中最先抢答的组别锁定,禁止其他组的信号显示出来,这个模块是整个电路中最重要的地方,这个模块直接影响主持人对比赛公平进行

    29、的判断。锁存模块的元件图如下图所示:图4-3-4 锁存模块元件图引脚作用:输入信号:D1、D2、D3、D4、D5、D6、D7、D8是各组的抢答信号。输出信号:Q是锁存反馈信号。工作原理:当D1、D2、D3、D4、D5、D6、D7、D8各组的抢答信号进入锁存模块时,在锁存模块中,对输入的各个信号的信息进行或的关系运算,将计算结果输给Q,通过Q向外输出。最后将Q值反馈给QDJB模块,对本次的抢答进行锁存,并将锁存结果输出给下一级电路。部分源程序如下所示:If d1=1 or d2=1 or d3=1 or d4=1 or d5=1 or d6=1 or d7=1 or d8=1then q=1; 当任何一个输入为1时, 将1赋给qElse qM=0001; 当输入


    注意事项

    本文(基于VHDL的数显抢答器毕业设计.docx)为本站会员主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

    copyright@ 2008-2023 冰点文库 网站版权所有

    经营许可证编号:鄂ICP备19020893号-2


    收起
    展开