欢迎来到冰点文库! | 帮助中心 分享价值,成长自我!
冰点文库
全部分类
  • 临时分类>
  • IT计算机>
  • 经管营销>
  • 医药卫生>
  • 自然科学>
  • 农林牧渔>
  • 人文社科>
  • 工程科技>
  • PPT模板>
  • 求职职场>
  • 解决方案>
  • 总结汇报>
  • ImageVerifierCode 换一换
    首页 冰点文库 > 资源分类 > DOCX文档下载
    分享到微信 分享到微博 分享到QQ空间

    基于EDA的数字时钟设计EDA课程设计Word文档下载推荐.docx

    • 资源ID:273677       资源大小:109.88KB        全文页数:14页
    • 资源格式: DOCX        下载积分:3金币
    快捷下载 游客一键下载
    账号登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录
    二维码
    微信扫一扫登录
    下载资源需要3金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP,免费下载
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    基于EDA的数字时钟设计EDA课程设计Word文档下载推荐.docx

    1、二、指导教师评语:年 月 日 三、成绩验收盖章 (湖南城市学院物理与电信工程系电子信息工程专业,湖南益阳,41300)1设计目的1、学习复杂数字电路系统的设计。2、运用VHDL设计一个多功能数字时钟。2设计的主要内容和要求1.数字显示当前的小时、分钟以及秒钟;3 整体设计方案该设计的基本设计方案:电路由控制电路、两个60进制加法计数器、一个24进制加法计数器、译码器、显示器、闹铃电路组成。计数器对秒、分、小时进行计时,当计时到23时59分59秒(选择24小时计时方式)或11时59分59秒(选择12小时计时方式)时,来一个计数脉冲,则计数器清零,重新开始计时。译码器将计数器输出的BCD码计时结果

    2、转换成十进制送到显示器,显示器显示时、分、秒计时结果。当时间达到预置的闹铃时间时,输出高电平实现闹铃。总体设计框图如图3.1所示。图 3.1 总体方案设计框图4 软件电路的设计4.1秒计时电路设计 本设计是采用VHDL语言来设计硬件电路,首先完成秒钟的计时程序,亦即完成一个60进制计时器的设计,源程序代码如下:-秒钟的计时程序LIBRARY ieee;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_unsigned.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY second IS -实体,定义输入和输出端口 POR

    3、T(clk1,rst1:in std_logic; cout1:out std_logic; cq0:out std_logic_vector(3 downto 0); cq1:out std_logic_vector(3 downto 0);END second;ARCHITECTURE behave of second is -结构体SIGNAL d: std_logic_vector(3 downto 0);-定义信号d、g,作为中转量供进程使用 SIGNAL g:BEGIN process(clk1,rst1,d,g) -进程开始 BEGIN if (rst1 =1) then -当复

    4、位rst1=1时,秒计时复位 d =0000; g elsif(clk1EVENT and clk1=)then -时钟的上升沿有效 if(d=9 and g=5)then -当d=9 且 g=5 时产生进位 cout1= else 0 end if; if(d=9)then -d=9 则把d清零 d if(g=5)then g-在d=9的情况下,若g=5,将g清零,否则加1 else g=g+1; d=d+1; -d小于9时,d+1 end process; cq0=d;cq1=g; -将信号d和g分别赋给输出的cq0和cq1end behave;clk1是时钟输入信号,rst1是秒钟复位信

    5、号, cout1作为下一模块分钟计时的时钟信号,cq0,cq1为输出信号最后,接在动态译码管芯片上,编译完成后生成秒计时器的硬件电路,如图4.1中second部分所示。此外在时钟输入端加入一个调秒(tiaomiao)信号,与clk1一起采用或门输入,用以实现调秒功能。完整的秒计时电路如图4.1所示。图4.1 秒计时电路4.2 分计时电路设计和秒计时电路相比较,分秒计时器都是60进制的计数器,所以只需在秒计时电路下级串联一个秒计时器即可完成分计时电路的设计。设计电路如图4.2所示。图4.2 分计时电路 上一级秒计时电路产生的cout1与调分(tiaofen)输入信号采用或门输入作为此分时钟设计电

    6、路的clk1时钟信号,rst1是复位信号, 输出的cout1作为下一模块小时计时的时钟信号,cq0,cq1输出信号最后接在动态译码管芯片上。得出实验要求的分计时器,如图4.2 所示:4.3 小时计时电路设计系统要求实现12小时,24小时切换计时,即12进制计数和24进制计数之间的切换,采用一个按键输入信号可控制计数进制的选择,源程序代码如下:-小时计时程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity hour IS -实体,定义输入和输出 port(clk3,rst3,m: cq4

    7、: cq5:END hour;ARCHITECTURE behave of hour is SIGNAL d: -定义信号d、g,作为中转量 SIGNAL g: process(clk3,rst3,m,d,g) if (rst3 =) then -时钟的复位,rst3=1,则复位 elsif(clk3EVENT and clk3=)then -时钟clk3的上升沿有效 if(m=) then -m=0,采取12进制计时 if(d=9 or (d=1 and g=1)then if(g=1)then else -若m不等于0,则采用24进制计时 if(d=9 or (d=3 and g=2)th

    8、en if(g=2)then cq4cq5 -将信号d和g分别赋给输出的cq4和cq5当输入信号m=0时,进行12进制计数;当m=1时,进行24进制计数。从分钟计时输出的进位(cout)信号,与调时(tiaoshi)信号采用或门一起输入作为此小时计时电路的clk3时钟信号,rst3是复位信号, cq4,cq5输出信号最后接在动态译码管芯片上。得出实验要求的小时计时器,如图4-3 所示。图4.3 小时计时电路4.4 译码显示以及闹铃电路设计从各计时电路输出的时钟信号需要进行译码后才能在数码管上动态显示,且当时输入的时钟信号达到某一设定值时要能进行闹铃,设计程序如下:-译码以及闹铃程序LIBRAR

    9、Y IEEE;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY yima IS -实体,定义输入和输出PORT ( CLK : IN STD_LOGIC; RESET : SEC1 : IN STD_LOGIC_VECTOR(3 DOWNTO 0);-秒输入 SEC2 : MIN1 :-分输入 MIN2 : HOUR1 :-时输入 HOUR2 : set_hour1 :-闹铃时间输入 set_hour2 : IN STD_LOGIC_VECTOR(1 DOWNTO 0); set_min1 : set_min2 : IN STD_LOGIC_VECTOR(2 DOW

    10、NTO 0); CLOCK : OUT STD_LOGIC; miao1 : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);-时钟信号输出显示 miao2 : fen1 : fen2 : shi1 : shi2 : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);END yima;ARCHITECTURE ART OF yima IS -结构体 PROCESS(set_hour1,set_hour2,set_min1,set_min2,CLK,RESET) BEGIN -进程开始 IF(RESET=)THEN -复位显示0 miao1 = miao2 fe

    11、n1 fen2 shi1 shi2 ELSIF(CLKEVENT AND CLK=)THEN IF (set_hour2(1 DOWNTO 0) = HOUR2(3 DOWNTO 0) and (set_hour1(3 DOWNTO 0) = HOUR1(3 DOWNTO 0) and (set_min1(3 DOWNTO 0) = MIN1(3 downto 0) and (set_min2(2 DOWNTO 0) = MIN2(3 DOWNTO 0) then CLOCK = -到闹铃时间则拉高CLOCK进行闹铃 ELSE CLOCK END IF; miao1 = SEC1(3 DOWN

    12、TO 0); -译码显示 miao2 = SEC2(3 DOWNTO 0); fen1 = MIN1(3 DOWNTO 0); fen2 = MIN2(3 DOWNTO 0); shi1 = HOUR1(3 DOWNTO 0); shi2 = HOUR2(3 DOWNTO 0); END IF; END PROCESS; END ART; CLK为译码器时钟输入信号,RESET为复位信号,SEC1、SEC2、MIN1、MIN2、HOUR1、HOUR2为时钟输入信号, set_hour1、set_hour2、set_min1、setmin2为闹铃时间的预置输入,CLOCK为闹铃输出信号,miao

    13、1、miao2、fen1、fen2、shi1、shi2为译码时钟输出信号。其电路图如图4.4所示。图4.4译码显示以及闹铃电路5 总体电路设计5.1电路设计多功能数字时钟的总体电路如图5.1所示,主要由两个60进制的计数器,一个24进制的计数器,数码管译码器以及闹铃电路构成,。图5.1数字时钟的总体电路5.2功能及使用说明(1)计时功能:首先通过时钟脉冲clk1产生一个信号来实现秒钟的计时功能,当秒钟计数到59后,下一个时钟秒钟清零,并产生一个分钟进位信号送到分钟计数器;同理,当分钟计数到59后,下一个计数时钟信号会让分钟清零,同时产生一个小时进位信号,送到小时计数器。当小时计数器计数到24或

    14、12(由输入信号m控制)时,完成一个周期,全部跳转到零并重新开始计数。译码输出后由6个数码管来显示时钟信号。(2)使用控制说明:时间周期选择功能,通过m来控制12进制或24进制时钟显示;秒钟、分钟以及小时都有复位键,相应为rst1,rst2,rst3;秒钟、分钟以及小时都有调节功能,相应为tiaomiao、tiaofen、tiaoshi;具有闹铃功能,通过set_hour1、set_hour2、set_min1、set_min2来设置闹铃时间,当时钟时间与闹铃时间吻合时,由CLOCK输出高电平实现闹铃。6 系统仿真6.1时钟显示以及复位和调时功能的仿真 仿真图如图6.1所示,当clk1为高电平

    15、且复位信号为0时秒钟加1,采用与clk1的反相时钟信号CLK作为动态译码显示时钟,使时钟信号能及时准确的显示出来。如图中所示调分和调时信号拉高后时间从01秒变位01时01分02秒,当复位信号rst1、rst2、rst3被拉高后时钟显示全部为0,再重新计时显示。图6.1时钟显示以及复位和调时功能的仿真6.2闹铃功能仿真 如图6.2所示,设定闹铃的时间为1时11分,当时间时钟时间达到该值时,CLOCK信号立即变位高电平,说明闹铃开启正常。图6.2闹铃功能仿真图7 设计总结 这次EDA的课程设计让我学到了很多思路与方法,对EDA有了更加深刻的认识与兴趣:1、学会复杂数字电路系统的设计:对一般复杂的电路系统可以采用分模块的设计形式, 这样可以使设计简单明了;2、学会了60进制和24进制的计时器设计;3、学会了8位数据输入4位数据输出译码器的程序设计。参考文献1 江国强.EDA技术与应用M. 北京:电子工业出版社, 2010:36-51. 2 胡立涛.EWB电子仿真实验指导书M. 海口:南海出版公司, 2006:61-78.3 朱运利.EDA技术应用M. 北京:电子工业出版社, 2004:41-63.4 郭勇.EDA技术M. 北京:高等教育出版社, 2004:73-89.5 唐治德.数字电子技术M. 北京:科学出版社,2010:56-67.


    注意事项

    本文(基于EDA的数字时钟设计EDA课程设计Word文档下载推荐.docx)为本站会员主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

    copyright@ 2008-2023 冰点文库 网站版权所有

    经营许可证编号:鄂ICP备19020893号-2


    收起
    展开