欢迎来到冰点文库! | 帮助中心 分享价值,成长自我!
冰点文库
全部分类
  • 临时分类>
  • IT计算机>
  • 经管营销>
  • 医药卫生>
  • 自然科学>
  • 农林牧渔>
  • 人文社科>
  • 工程科技>
  • PPT模板>
  • 求职职场>
  • 解决方案>
  • 总结汇报>
  • ImageVerifierCode 换一换
    首页 冰点文库 > 资源分类 > DOCX文档下载
    分享到微信 分享到微博 分享到QQ空间

    《数字电子技术A》.docx

    • 资源ID:2327623       资源大小:258.59KB        全文页数:17页
    • 资源格式: DOCX        下载积分:3金币
    快捷下载 游客一键下载
    账号登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录
    二维码
    微信扫一扫登录
    下载资源需要3金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP,免费下载
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    《数字电子技术A》.docx

    1、数字电子技术A数字电子技术A主观题离线作业班级学号姓名二、主观题(共15道小题)7.如图3所示,为检测水箱的液位,在A、B、C、三个地方安置了三个水位检测元件,当水面低于检测元件时,检测元件输出低电平,水面高于检测元件时,检测元件输出高电平。试用与非门设计一个水位状态显示电路,要求:当水面在A、B之间的正常状态时,仅绿灯G亮;水面在B、C间或A以上的异常状态时,仅黄Y灯亮;水面在C以下的危险状态时,仅红灯R亮。解:8.电路如图7所示,图中74HC153为4选1数据选择器。试问当MN为各种不同输入时,电路分别是那几种不同进制的计数器。解:MN=008进制计数器,MN=019进制计数器,MN=10

    2、14进制计数器,MN=1115进制计数器.9.发由全加器FA、2-4线译码器和门电路组成的逻辑电路如图3a所示。试在图b中填写输出逻辑函数L的卡诺图(不用化简)。(a)(b)解:输出逻辑函数L的卡诺图如图A3所示。10.用逻辑代数证明下列不等式解:11.将下列各式转换成与或形式解:12.利用与非门实现下列函数L=AB+AC解:13.利用与非门实现下列函数解:14.利用与非门实现下列函数解:15.用卡诺图法化简下列各式解:16.用卡诺图法化简下列各式解:17.用卡诺图法化简下列各式解:18.用卡诺图法化简下列各式解:19.解:20.解:21.解:二、主观题(共12道小题)4.解:各电路输出端的波

    3、形如图A1所示。5.解:6.已知逻辑函数:画出逻辑函数F1、F2和F的卡诺图;用最少的与非门实现逻辑函数F,画出逻辑图。解:逻辑函数F1、F2和F的卡诺图如图A2所示。化简并变换逻辑函数F得7.分析图3所示逻辑电路,写出输出端的逻辑函数表达式,列出真值表,说明电路能实现什么逻辑功能.解:8.用数据选择器组成的多功能组合逻辑电路如图4所示。图中G1、G0为功能选择输入信号,X、Z为输入逻辑变量,F为输出逻辑函数。分析该电路在不同的选择信号时,可获得哪几种逻辑功能,请将结果填入表4中。解:9.设计一个组合逻辑电路。电路输入DCBA为8421BCD码,当输入代码所对应的十进制数能被4整除时,输出L为

    4、1,其他情况为0。1用或非门实现。2用3线-8线译码器74HC138和逻辑门实现。(0可被任何数整除,要求有设计过程,最后画出电路图)解:10.将下列十进制数转换为二进制数、八进制数、十六进制数和8421BCD码(要求转换误差不大于2-4):(1)43(2)127(3)254.25(4)2.718解:(1)43D=101011B=53O=2BH;43的BCD编码为01000011BCD。(2)127D=1111111B=177O=7FH;127的BCD编码为000100100111BCD。(3)001001010100.00100101BCD。(4)2.718D=10.10110111B=2.

    5、56O=2.B7H;0010.011100011000BCD。11.将下列每一二进制数转换为十六进制码:(1)101001B(2)11.01101B解:(1)101001B=29H(2)11.01101B=3.68H12.将下列十六进制数转换为二进制数:(1)23F.45H(2)A040.51H解:(1)23F.45H=1000111111.01000101B(2)A040.51H=1010000001000000.01010001B13.解:14.试分析图题3.3.4所示逻辑电路的功能解:全加器15.某雷达站有3部雷达A、B、C,其中A和B功率消耗相等,C的功率是A的功率的两倍。这些雷达由两

    6、台发电机X和Y供电,发电机X的最大输出功率等于雷达A的功率消耗,发电机Y的最大输出功率是X的3倍。要求设计一个逻辑电路,能够根据各雷达的启动和关闭信号,以最节约电能的方式启、停发电机.解:二、主观题(共7道小题)8.已知输入信号A、B、C的波形,试画出图2所示各电路输出(L1、L2、L3)的波形。设触发器的初态为0。解:波形如图A2所示。9.逻辑电路如图4所示,试画出Q0、Q1、Q2的波形。设各触发器初态为0。解:10.已知某同步时序逻辑电路的时序图如图5所示。1列出电路的状态转换真值表,写出每个触发器的驱动方程和状态方程2试用D触发器和与非门实现该时序逻辑电路,要求电路最简。画出逻辑电路图.

    7、解:11.用移位寄存器74194和逻辑门组成的电路如图6所示。设74194的初始状态Q3Q2Q1Q0=0001,试画出各输出端Q3、Q2、Q1、Q0和L的波形。解:各输出端Q3、Q2、Q1、Q0和L的波形如图A6所示。12.逻辑电路如图2a、b、c所示。试对应图d所示输入波形,分别画出输出端L1、L2和L3的波形。(设触发器的初态为0)(a)(b)(c)(d)解:输出端L1、L2和L3的波形如图A2所示。13.由与或非门组成的同步RS触发器如题图所示,试分析其工作原理并列出功能表。解:14.设主从JK触发器的初始状态为0,CP、J、K信号如题图所示,试画出触发器Q端的波形。解:答案见题图,即上

    8、图中最下面一个波形。二、主观题(共10道小题)6.分析如图5所示时序逻辑电路。(设触发器的初态均为0)1写出各触发器的时钟方程、驱动方程、状态方程;2画出完整的状态图,判断电路是否具能自启动;3画出在CP作用下的Q0、Q1及Q3的波形。解:7.试用正边沿D触发器设计一个同步时序电路,其状态转换图如图6所示。1列出状态表;2写出各触发器的激励方程和输出方程;3说明电路功能。解:8.分析如图6所示时序逻辑电路1写出各触发器的激励方程、输出方程2写出各触发器的状态方程3列出电路的状态表并画出状态图4说明电路的逻辑功能。解:9.用边沿JK触发器和最少的逻辑门设计一个同步可控2位二进制减法计数器。当控制

    9、信号X=0时,电路状态不变;当X=1时,在时钟脉冲作用下进行减1计数。要求计数器有一个输出信号Z,当产生借位时Z为1,其他情况Z为0。解:10.某组合逻辑电路的输入、输出信号的波形如图4所示。1写出电路的逻辑函数表达式;2用卡诺图化简逻辑函数;3用8选1数据选择器74HC151实现该逻辑函数.解:11.逻辑电路如题图所示,已知CP和A的波形,画出触发器Q端的波形,设触发器的初始状态为0。解:12.图题6.1.5是某时序电路的状态转换图,设电路的初始状态为01,当序列X=100110时,求该电路输出Z的序列。解:01101013.在某计数器的输出端观察到如图7.1.1所示的波形,试确定该计数器的

    10、模解:模为614.由集成单稳态触发器74121组成的延时电路及输入波形如图题9.2.3所示。(1)计算输出脉宽的变化范围;(2)解释为什么使用电位器时要串接一个电阻。解:15.某双积分A/D转换器中,计数器为十进制计数器,其最大计数容量为(3000)D。已知计数时钟脉冲频率fCP=30kHz,积分器中R=100k,C=1F,输入电压vI的变化范围为05V。试求:(1)第一次积分时间T1;(2)求积分器的最大输出电压;(3)当VREF=10V,第二次积分计数器计数值=(1500)D时输入电压的平均值VI为多少?解:二、主观题(共7道小题)2.由555定时器组成的脉冲电路及参数如图8a所示。已知v

    11、I的电压波形如图b所示。试对应vI画出图中vO1、vO2的波形;(a)(b)解:对应vI画出图中vO1、vO2的波形如图A8所示。3.由可编程逻辑阵列构成的组合逻辑电路如图3所示。1写出L1、L2的逻辑函数表达式;2列出输入输出的真值表;3说明电路的逻辑功能。解:4.D触发器逻辑符号如题图所示,用适当的逻辑门,将D触发器转换成T触发器、RS触发器和JK触发器。解:5.已知一时序电路的状态表如表所示,试作出相应的状态图。解:6.已知状态图如图所示,试作出它的状态表解:7.试分析图题所示电路,说明它是多少进制计数器,采用了何种进位方式。解:4096。采用并行进位方式。8.解:D为0表示产生一个有效宽度脉冲;E为0可能出现复位现象。


    注意事项

    本文(《数字电子技术A》.docx)为本站会员主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

    copyright@ 2008-2023 冰点文库 网站版权所有

    经营许可证编号:鄂ICP备19020893号-2


    收起
    展开