欢迎来到冰点文库! | 帮助中心 分享价值,成长自我!
冰点文库
全部分类
  • 临时分类>
  • IT计算机>
  • 经管营销>
  • 医药卫生>
  • 自然科学>
  • 农林牧渔>
  • 人文社科>
  • 工程科技>
  • PPT模板>
  • 求职职场>
  • 解决方案>
  • 总结汇报>
  • ImageVerifierCode 换一换
    首页 冰点文库 > 资源分类 > DOCX文档下载
    分享到微信 分享到微博 分享到QQ空间

    基于FPGA乒乓球比赛游戏机的设计.docx

    • 资源ID:1998458       资源大小:402.39KB        全文页数:11页
    • 资源格式: DOCX        下载积分:3金币
    快捷下载 游客一键下载
    账号登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录
    二维码
    微信扫一扫登录
    下载资源需要3金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP,免费下载
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    基于FPGA乒乓球比赛游戏机的设计.docx

    1、基于FPGA乒乓球比赛游戏机的设计电子设计自动化(EDA)技术课程设计报告 题 目: 乒乓球比赛游戏机 姓 名: 院 系:应用技术学院 专 业:电子信息工程 学 号: 指导教师: 完成时间:2012年06月25日 设计题目乒乓球比赛游戏机设计要求设计一个乒乓球比赛游戏机,能模拟比赛的基本过程和规则并能自动裁判和记分具体要求如下: (1)使用乒乓球游戏机的双方在不同位置发球或击球。 (2)乒乓球的位置和移动方向由灯亮和依次亮的方向决定。使用者根据球的位置发出相应的动作(3)比赛用21分为一局来进行,双方设置各自的记分牌,任意一方先记满21分就获胜此局。当记分牌清零后,开始新的一局比赛。设计过程用

    2、8个(或更多个)LED排成一条直线,以中点为界,两边各代表参赛双方的位置,其中一只点亮的LED指示球的当前位置,点亮的LED依次从左到右,或从右到左,其移动的速度应能调节。当“球”(点亮的那只LED)运动到某方的最后一位时,参赛者应能果断地按下位于自己一方的按纽开关,即表示启动球拍击球,若击中,则球向相反方向运动;若未中,球掉出桌外,则对方得一分。 设置自动记分电路,甲乙双方各用两位数码管进行记分显示,每计满21分为1局。 甲乙双方各设一个发光二极管表示拥有发球权,每隔2次自动交换发球权,拥有发球权的一方发球才有效。成绩评定指导教师评语课程设计等级目 录1 课程设计题目、内容与要求 1.1 设

    3、计内容1.2 具体要求2 系统设计 2.1 设计思路2.2 系统原理(包含:框图等阐述)与设计说明等内容3 系统实现 注:此部分阐述具体实现,包含主要逻辑单元、模块、源代码等内容4 系统仿真 5 硬件验证(操作)说明6 总结 7 参考书目 设计时间:16周、17周组员: 1课程设计题目、内容与要求1.1课程设计的题目乒乓球比赛游戏机1.2课程设计目的 随着科学技术日益迅速的发展,数字系统已经深入到生活的各个方面。它具有技术效果好,经济效益高,技术先进,造价较低,可靠性高,维修方便等许多优点。使我们更加熟练掌握数字系统的设计。对所学的专业知识有能力更好的应用在实践方面。1.3课程设计要求 (1)

    4、使用乒乓球游戏机的双方在不同位置发球或击球。 (2)乒乓球的位置和移动方向由灯亮和依次亮的方向决定。使用者根据球的位置发出相应的动作(3)比赛用21分为一局来进行,双方设置各自的记分牌,任意一方先记满21分就获胜此局。当记分牌清零后,开始新的一局比赛。1.4课程设计内容设计一个由甲乙双方参赛,有裁判的三人乒乓球游戏机。用8个(或更多个)LED排成一条直线,以中点为界,两边各代表参赛双方的位置,其中一只点亮的LED指示球的当前位置,点亮的LED依次从左到右,或从右到左,其移动的速度应能调节。当“球”(点亮的那只LED)运动到某方的最后一位时,参赛者应能果断地按下位于自己一方的按纽开关,即表示启动

    5、球拍击球,若击中,则球向相反方向运动;若未中,球掉出桌外,则对方得一分。设置自动记分电路,甲乙双方各用两位数码管进行记分显示,每计满21分为1局。甲乙双方各设一个发光二极管表示拥有发球权,每隔2次自动交换发球权,拥有发球权的一方发球才有效。 2 系统设计2.1设计思路: 根据系统设计的要求,乒乓球比赛游戏机的电路原理框图如下:3系统实现设计程序及其部分设计说明LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CORNA ISPORT(AF,AJ,BF,BJ,CLK,CLR:IN STD

    6、_LOGIC;-AF,BF,为AB发球;为接球;CLK为时钟信号;CLR为复位信号SHIFT:OUT STD_LOGIC_VECTOR(7 DOWNTO 0);-表示LED灯的亮灭,其实也就是乒乓球的位置YA,YB:OUT STD_LOGIC;-接LED小灯,亮表示拥有发球权YYY:OUT STD_LOGIC;-当其中任何一方得分时候,YYY为1状态,下面接响铃电路;AH,AL,BH,BL:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);-双方得分的BCD码END;ARCHITECTURE ABHV OF CORNA ISSIGNAL AMARK,BMARK:INTEGER;

    7、-分别用整数表示A B的得分SIGNAL YY:STD_LOGIC;-代表YYY的信号BEGIN-以下进程是主要程序流程-PROCESS(CLR,CLK)VARIABLE A,B:STD_LOGIC;VARIABLE WOJIA,WOJIB:INTEGER;VARIABLE SHE:STD_LOGIC_VECTOR(7 DOWNTO 0);-led亮灯的位置-WOJIA:=4;-WOJIB:=4;BEGINIF CLR=0 THEN-复位信号A:=0;B:=0;SHE:=00000000;-00000000代表没有任何一个LED亮AMARK=0;BMARK=0;WOJIA:=4;WOJIB:=

    8、4;ELSIF CLKEVENT AND CLK=1 THEN -每五次自动转换发球,主要有WOJIA,WOJIB来控制IF A=0 AND B=0 AND AF=0 AND WOJIA/=0 THEN-A方发球A:=1;-1代表已经发球YA=1;YB=0;SHE:=10000000;WOJIA:=WOJIA-1; ELSIF A=0 AND B=0 AND BF=0 AND WOJIB/=0 THEN-B方发球B:=1;YA=0;YB8 THEN IF BJ=0 AND AJ=0THEN-AB都犯规,AB均加分AMARK=AMARK+1;BMARK=BMARK+1;YY=1;A:=0;B:=

    9、0;SHE:=00000000;ELSIF BJ=0 OR AJ=1 THEN-AMARK=AMARK+1;YY=1;A:=0;B:=0;SHE:=00000000;ELSESHE:=0&SHE(7 DOWNTO 1);-B方没有击球END IF;ELSIF SHE=0 THEN-球从B方出界AMARK=AMARK+1;YY=1;A:=0;B:=0;ELSEF BJ=0 AND AJ=0 THEN-B方正常击球BMARK=BMARK+1;YY=1;A:=0;B:=0;ELSESHE:=0&SHE(7 DOWNTO 1);-B方没有击球END IF;END IF;ELSIF A=0 AND B=

    10、1 THEN-A方发球,情况同前IF SHE16 AND SHE/=0 THENIF AJ=0 AND BJ=0 THEN-Ab过网接球,犯规,AB加分BMARK=BMARK+1;AMARK=AMARK+1;YY16 THENIF AJ=1 AND BJ=0 THENBMARK=BMARK+1;AMARK=AMARK+1;YY=1;A:=0;B:=0;SHE:=00000000;ELSIF AJ=0 AND BJ=0 THENAMARK=AMARK+1;YY=1;A:=0;B:=0;SHE:=00000000;ELSE SHE:=SHE(6 DOWNTO 0)&0;END IF;ELSIF S

    11、HE=0 THEN BMARK=BMARK+1;YY=1;A:=0;B:=0;ELSEIF AJ=0 THENA:=1;B:=0;ELSESHE:=SHE(6 DOWNTO 0)&0;END IF;END IF;END IF;END IF;SHIFT=SHE;YYYTMP1 THENIF ALA=1001 THENALA:=0000;AHA:=AHA+1;TMP1:=TMP1+1;ELSEALA:=ALA+1;TMP1:=TMP1+1;END IF;END IF;IF BMARKTMP2 THENIF BLA=1001 THEN BLA:=0000;BHA:=BHA+1;TMP2:=TMP2+

    12、1;ELSEBLA:=BLA+1;TMP2:=TMP2+1;END IF;END IF;END IF;AL=ALA;AH=AHA;BL=BLA;BH=BHA;END PROCESS;END ABHV;4系统仿真乒乓球比赛游戏机仿真波形如下图:图4-1图4-25硬件验证EP1K10TC100-36总结经过两周的EDA课程实训练,我更加了解了PLD程序设计代码的设计流程,也加深了我对该课程的认识,学会了怎样将两个模块程序代码连接起来,并编译、仿针正确。虽然在设计的过程中有失败,但是我们都想办法,保持冷静,点一点的排除障碍,到最后获取成功,一种自信心由然而生。这次实训使我们真正的体验了自主学习、个人分工和分组合作,让我深深体会到了积累知识的重要性,让我能更好的学习PLD与数字电路设计。7参考书目李国丽 朱维勇 电子技术实验指导书 中国科技大学出版社潘松 黄继 EDA技术实用教程 科学出版社王金明 Verilog HDL程序设计教程宋万杰 罗丰 吴顺君 CPLD技术及其应用 西安电子科技大学出版社张昌凡 龙永红 彭涛 可编程逻辑器件及VHDL设计技术 华南工学院出版社


    注意事项

    本文(基于FPGA乒乓球比赛游戏机的设计.docx)为本站会员主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

    copyright@ 2008-2023 冰点文库 网站版权所有

    经营许可证编号:鄂ICP备19020893号-2


    收起
    展开