欢迎来到冰点文库! | 帮助中心 分享价值,成长自我!
冰点文库
全部分类
  • 临时分类>
  • IT计算机>
  • 经管营销>
  • 医药卫生>
  • 自然科学>
  • 农林牧渔>
  • 人文社科>
  • 工程科技>
  • PPT模板>
  • 求职职场>
  • 解决方案>
  • 总结汇报>
  • ImageVerifierCode 换一换
    首页 冰点文库 > 资源分类 > DOCX文档下载
    分享到微信 分享到微博 分享到QQ空间

    交通信号灯电子技术课程设计.docx

    • 资源ID:17783710       资源大小:450.17KB        全文页数:18页
    • 资源格式: DOCX        下载积分:5金币
    快捷下载 游客一键下载
    账号登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录
    二维码
    微信扫一扫登录
    下载资源需要5金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP,免费下载
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    交通信号灯电子技术课程设计.docx

    1、交通信号灯电子技术课程设计 电子信息与控制工程系课程设计 交通信号灯系统设计 专业班级: 学 号: 学生姓名 : 指导教师: 完成时间:附录一 17一、内容摘要本电路通过由两个D触发器组成的四进制计数器和由与非门组成的译码器来控制主干道和支干道红、黄、绿灯的状态变化,从而达到疏导车辆安全顺利通过十字路口的作用,由555计时和电容电阻组成秒脉冲发生器;计时器由两个74LS190计数器组成,分别用于计时间的十位和个位;显示译码器把74LS190输出的BCD码译成7位二进制代码,驱动数码管显示相应的BCD码所对应的十进制数。二、交通信号灯设计内容及要求 由一条主干道和一条支干道的汇合形成十字路口,为

    2、确保车辆安全、迅速地通行,在交叉路口的每一个入口处设置了红、绿、黄三色信号灯。红灯亮禁止通行;绿灯亮允许通行;黄灯亮则给行使中的车辆有时间停靠到禁行线之外;任务和要求: 1、主干道和支干道交替放行,主干道每次放行30秒,支干道每次放行20秒。2、每次绿灯变红灯时,黄灯先亮5秒,此时原红灯不变。3、用十进制数字显示放行及等待时间。三、方案分析 十字路口的红绿灯指挥着行人和各种车辆的安全通行。有一个主干道和一个支干道的十字路口如图所示。每边都设置了红、绿、黄色信号灯。红灯亮表示禁止通行,绿灯亮表示可以通行,在绿灯变红灯时先要求黄灯亮几秒钟,以便让停车线以外的车辆停止运行。因为主干道上的车辆多,所以

    3、主干道放行的时间要长。 路口交通指挥系统示意图系统工作流程图如图所示。主干道绿灯亮,支干道红亮,计数器由30开始递减计数S1主干道黄灯亮,支干道红灯亮,计数器由5开始递减计数S2主干道红灯亮,支干道绿灯亮,计数器由20开始递减计数S3主干道红灯亮,支干道黄灯亮,计数器由5开始递减计数S4系统工作流程图要实现上述交通信号灯的自动控制,则要求控制电路由时钟信号发生器、计数器、信号灯译码驱动电路、信号灯译码驱动电路和数字显示译码驱动电路等几部分组成,整机电路的原理框图如图所示。四个路口设有红、黄、绿三色灯和两位8421BCD码的计数、译码显示器。交通信号灯控制系统原理组成框图十字路口车辆运行情况只有

    4、4种可能:1)设开始时主干道通行,支干道不通行,这种情况下主绿灯和支红灯亮,持续时间为30s。2)30s后,主干道停车,支干道仍不通行,这种情况下主黄灯和支红灯亮,持续时间为5s。3)5s后,主干道不通行,支干道通行,这种情况下主红灯和支绿灯亮,持续时间为20s。4)50s后,主干道仍不通行,支干道停车,这种情况下主红灯和支黄灯亮,持续时间为5s。5s后又回到第一种情况,如此循环反复。因此,要求主控制电路也有4种状态,设这4种状态依次为:S0、S1、S2、S3。状态转换图如图所示。四、原理图设计1 信号灯状态控制器十字路口车辆运行情况只有4种可能,实现这4个状态的电路,可以用两个D触发器组成一

    5、个四进制的计数器。我采用的一片74HC74实现。74LS74的引脚排列图:74LS74的功能表:74LS74的接线图所示:D触发器的状态方程为Q*=Q,load每输入一个时钟脉冲Q0的状态改变一次,U7A将load输入的时钟脉冲二分频后送给U7B,即load每四个脉冲U7A和U7B的状态回到原来的状态,即四进制计算器。2 信号灯译码驱动电路主、支干道上红、黄、绿信号灯的状态主要取决于状态控制器的输出状态。它们之间的关系见真值表。对于信号灯的状态,“1”表示灯亮,“0”表示灯灭。列出信号灯的真值表:状态控制器输出主干道信号灯支干道信号灯Q1Q0红(R)黄(Y)绿(G)红(r)黄(y)绿(g)00

    6、111010001101001000110000010010根据真值表可以写出各信号灯的逻辑函数式: 用发光二极管模拟交通灯的工作状态,根据逻辑函数表达式画出的电路图如图所示。因为门电路带灌电流负载的能力强,故设计成门电路输出低电平时,相应的发光二极管亮。3 置数译码电路要实现30秒、20秒、5秒倒计时,就要在计数器倒计时到零的时候给计数器的置数端送入下一状态相应的时间数。列出置真值表:Q1 Q0 h g f e d c b a0 00 11 01 1 0 0 0 0 0 1 0 1 0 0 1 0 0 0 0 0 0 0 0 0 0 1 0 1 0 0 1 1 0 0 0 0根据真值表可以写

    7、出逻辑函数表达式:h=0 g=0 f=Q0 e=Q1Q0 d=0 c=a=Q0 b=04 计时系统74LS190具有可对8421BCD进行计数、可逆计数、有联级脉冲输出、可由送数控制进行异步置数、并行输出、可联级到n位应用等功能,所以可以用74LS190做为计时系统的计时器。74LS190的时序图: 74LS190的引脚排序图:74SL190的功能表:计时系统由两片74LS190构成的计数器、74HC00和74HC04构成的置数、状态转换信号输出电路组成。如图所示。用两片74LS190组成两位十进制减法计数器,当计数器状态为零时,U5C输出信号load,作为置数控制信号,将置数译码器输出的数据

    8、送入计数器。Load送入状态计数器74LS74,作为状态计数器的时钟脉冲。5 显示译码器CD4511是一个用于驱动共阴极 LED (数码管)显示器的 BCD 码七段码译码器,特点:具有BCD转换、消隐和锁存控制、七段译码及驱动功能的CMOS电路能提供较大的拉电流。可直接驱动LED显示器。CD4511 是一片 CMOS BCD锁存/7 段译码/驱动器,引脚排列如图所示。其中a b c d 为 BCD 码输入,a为最低位。LT为灯测试端,加高电平时,显示器正常显示,加低电平时,显示器一直显示数码“8”,各笔段都被点亮,以检查显示器是否有故障。BI为消隐功能端,低电平时使所有笔段均消隐,正常显示时,

    9、 B1端应加高电平。另外 CD4511有拒绝伪码的特点,当输入数据越过十进制数9(1001)时,显示字形也自行消隐。LE是锁存控制端,高电平时锁存,低电平时传输数据。ag是 7 段输出,可驱动共阴LED数码管。所谓共阴 LED 数码管是指 7 段 LED 的阴极是连在一起的,在应用中应接地。限流电阻要根据电源电压来选取,电源电压5V时可使用300的限流电阻。CD4511引脚排序图:七段显示译码电路真值表:CD4511接线图:6 共阴七段LED共阴数码管数码管分为共阳极结构和共阴极结构。若显示器共阳极连接,则对应阳极接高电平的字段发光;而显示器共阴极连接,则接低电平的字段发光。数码管的每段都加一

    10、个360的电阻。数码管的接线图:7 555振荡器构成的秒脉冲电路时器555定时器内部结构和引脚排列图,如内部电路图,引脚排列图。555定时器内部含有一个基本RS触发器,配个电压比较器C1,C2,一个放电三极管T由三个5K的电阻的分配器,555定时器因此而得名一个输出缓冲器G3。比较器C1的参考电压为2VCC/3加在同相输入端C2的参考电压为VCC/3加在反相输入端,两者均由分在器上取得。 555定时器引脚排列图 555的内部电路图555定时器个引线端的用途如下:1端为接地线;2端为低电平触发端,也称为触发输入端。当2端的输入高电压高 于VCC/3时,C2输出为1;当输入电压低于VCC/3时,C

    11、2的输出为0,使基本触发器置1;3端U0为输出端;4端是复位端,当=0时,基本触发器直接置0,使Q=0,=1;5端UDD 为电压控制端,如果CO 端另加控制电压,则可以改变C1,C2的参考电压。工作中不使用CO 端时,一般都通过一个0.01uF的电容接地,以防旁路干扰;6端TH 为高电平触发端,当输入电压低于2VCC/3时,C1的输出为1;当输入电压高于2VCC/3时,C1的输出为0,使基本触发器置0,即Q0=0,=1,这时定时器输出U0=0;7端D为放电端。当基本触发器的=1时,放电晶体管T 导通,外接电容元件通过T放电;8端VCC为电源端,可在4.3-1.6V范围内使用,若为CMOS电路,

    12、则VCC=3-18V。 555定时器功能表,它全面表示了555的基本功能:多谐振荡器产生矩形波的自激振荡电路,由于矩形波包含和高次谐波成分,因此称为多谐振荡器。多谐振荡器也称无稳态触发器,它没有稳定状态,同时毋须外加发脉冲,就能输出一定频率的矩形脉冲(自激振荡)。用555实现多谐振需要外接电阻R1,R2和电容C,并外接+5V的直流电源。只需在+VCC端接上+5V的电源,就能在3脚产生周期性的方波,如图所示。3脚输出的言方波的周期为 T=(R1+R2)Cln2本电路采取R1=15K,R2=68K,C1=0.1uF,C2=10uF。8 元件清单元件数量74LS190274HC74174HC0027

    13、4HC041CD45112NE5551电阻、电容若干LED6共阴数码管2五、整体电路图以及工作原理整体电路图见附录一。由555组成的振荡器产生周期为一秒的时钟信号,送给计时器,计时器做减法计数,CD4511译码器把计时器输出的8421BCD码译成驱动数码管显示的七段二进制代码,使数码管显示相应的十进制数。当计时器减到零状态,RCO1和RCO2分别输出一个低电平信号,通过非门和与非门,load输出一个低电平信号,置数译码电路输出的数据送入计时器,load在上升沿到来时,信号灯状态控制器的计数器加1,信号灯转到下一状态。计时器继续倒计时,如此循环下去。六、原理图仿真这里用的是Proteus仿真软件

    14、,仿真原理图如下图:主干道绿灯亮,支干道红灯亮,开始30秒倒计时。 主干道黄灯亮,支干道红灯亮,开始5秒倒计时。 主干道红灯亮,支干道绿灯亮,开始20秒倒计时。主干道红灯亮,支干道黄灯亮,开始5秒倒计时。从仿真结果可知,计时器能够正确置数,时间显示正常,仿真符合题目要求。七、收获、体会和建议查找教材,资料,相应软件,为了这次课程设计,我们在图书馆查找了大量的相关资料,终于被我找全了和本次课程设计相关的不懂问题。根据所分析的系统的电路原理图,结合系统的设计要求,在Proteus环境下进行元器件之间的连线和编译与仿真,及时检查元器件的放置、连线是否有错误。 根据交通灯系统的控制要求,经过实验,排除

    15、所有实验中的错误并实现了预定的功能。在老师的指导下,通过学习交通灯系统控制器的设计的实验,学习一种设计电子的软件,增加了我们对电子设计的了解。通过这次课程设计我们对于EDA技术多多少少有了一些了解,EDA技术发展迅速,有着广阔的应用前景,设计面广,内容丰富,它用软件的方法设计硬件;用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完成的;在设计过程中可用有关软件进行各种仿真;系统可现场编程,在线升级;整个系统可集成在一个芯片上,体积小,功率低,可靠性高。EDA技术以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方法,以计算机,大规模可编程逻辑器件的开发软件及实验

    16、开发系统为设计工具,通过有关的开发软件,自动完成用软件方式设计的电子系统到硬件系统的逻辑编译,逻辑化简,逻辑分割,逻辑综合及优化,逻辑布局布线,逻辑仿真,直至特定目标芯片的适配便宜,逻辑映射,编程下载等工作,最终形成集成电子系统或专用集成芯片的一门新技术。其中大规模可编程器件是利用EDA技术进行电子系统设计的载体,硬件描述语言是利用EDA技术进行电子系统设计的主要表达手段,软件开发工具是利用EDA技术进行电子系统设计的智能化的自动化设计工具,实验开发系统则是利用EDA技术进行电子系统设计的下载工具及硬件验证工具。此次实验不但提高了我们实践的能力和理论水平,而且对于我们认识掌握各种操作技巧具有重大意义,使我们的综合素质得到了很大的提高。当然,通过这次实习,对我的影响远不只以上这些,它对我在以后的学习和生活中将会起到不可估量的作用。最后,我建议学校多搞些这样的设计,在锻炼我们的同时还丰富了我们的生活,还建议在今后的设计报告中,提供一些好的报告让我们参考,谢谢!八、参考文献1. 阎石 数字电子技术基础(第五版) 高等教育出版社2. 苗松池 电子实习与课设计 中国电力出版社3. 彭介华 电子技术课程设计指导 高等教育出版社


    注意事项

    本文(交通信号灯电子技术课程设计.docx)为本站会员主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

    copyright@ 2008-2023 冰点文库 网站版权所有

    经营许可证编号:鄂ICP备19020893号-2


    收起
    展开