欢迎来到冰点文库! | 帮助中心 分享价值,成长自我!
冰点文库
全部分类
  • 临时分类>
  • IT计算机>
  • 经管营销>
  • 医药卫生>
  • 自然科学>
  • 农林牧渔>
  • 人文社科>
  • 工程科技>
  • PPT模板>
  • 求职职场>
  • 解决方案>
  • 总结汇报>
  • ImageVerifierCode 换一换
    首页 冰点文库 > 资源分类 > DOCX文档下载
    分享到微信 分享到微博 分享到QQ空间

    微电子实验内容.docx

    • 资源ID:1667745       资源大小:1,015.83KB        全文页数:16页
    • 资源格式: DOCX        下载积分:3金币
    快捷下载 游客一键下载
    账号登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录
    二维码
    微信扫一扫登录
    下载资源需要3金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP,免费下载
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    微电子实验内容.docx

    1、微电子实验内容实验一、电路仿真实验一、Virtuoso Schematic Editor实验目的:掌握电原理图(schematic)设计输入方法。1启动 IC Design 软件: 开机后运行VMware,选择Power on this virtual machine启动Linux系统,进入登录界面,输入用户名和密码用户名:cj, Password:cjcj startx 回车,启动图形界面Shell窗口,命令行模式cd cjicfb &出现“icfb-log:/”窗口(CIW:Command Interpreter Window)2建立新库、新单元以及新视图(view): 在 CIW中,Fi

    2、le-New-Library, 在弹出的“New Library”窗口,Name 栏中:mylib 选中右下方:* Dont need techfile OK 查看 CIW窗口:Tools-Library Manager,在 Library中应有 mylib,单击它。 在 Library Manager 窗口,File-New-Cellview, 在弹出的“Create New File”窗口 Cell Name 栏中,nand2 Tool 栏中,选 Composer-Schematic OK3添加元件(实例 instance) 在弹出的“Virtuoso Schematic Editing:

    3、”窗口中,左边为工具栏,选 instance 图标(或 i) 单击“Add instance”窗口Library栏最右侧 Browser, 弹出“Library Browser-”窗口,Library选 analogLib,Cell 选nmos4, View选 symbol 鼠标回到“Add instance”窗口,Model name 栏:nch, width: 3.0u , Length: 0.5u,Hide 在“Virtuoso Schematic Editing:”窗口, 鼠标左键单击一次,间隔一定距离再单击一次,这样就增加了 2 个nmos4 元件,ESC(试一试:先选中一个元件,再

    4、 q ,查看/改变属性)。 仿照上述方法,增加 pmos4 元件。在“Add instance”窗口,Model name 栏:pch, width: 2.0u , Length: 0.5u;放置 2 个pmos4,ESC。(试一试热键 f,的功能) 4添加管脚(PIN)选“Virtuoso Schematic Editing:”窗口左边工具栏中的 Pin 图标(或 p) 在“Add Pin”窗口中,Pin Names:INA INB,Direction 选 input, Usage 选 schematic,Hide 在“Virtuoso Schematic Editing:”窗口, 鼠标左键

    5、单击一次,间隔一定距离再单击一次,放置 2 个输入 PIN, p,在“Add Pin”窗口中,Pin Names:OUT,Direction选 output, Usage选 schematic,在“Virtuoso Schematic Editing:”窗口放置输出 PIN, 5添加电源和地: i (或单击instance 工具图标) 弹出“Add instance”窗口,Browse,Library选 analogLib,Cell栏:vdd, View选 symbol 在“Virtuoso Schematic Editing:”窗口放置 vdd, 回到“Add instance”窗口,Bro

    6、wse,Cell 栏:gnd, 在“Virtuoso Schematic Editing:”窗口放置 gnd, ESC , Cancel掉“Add instance”窗口。6摆放元件并加网线: 参照下图放好元件(symbol):(移动用 m键,删除用 Delete键,取消命令用 ESC 键)选“Virtuoso Schematic Editing:”窗口左边工具栏中的 Wire(narrow),连好网线,ESC 7保存文件:“Virtuoso Schematic Editing:”窗口左上角 Check and Save 工具。 在 mylib 库中建立 amplifier单元(Cell)如下

    7、图所示。 可用 q 查看/改变属性,另外可能使用 m (移动),r(旋转),f(全屏),先 m 再 shift + r (左右翻转),先 m 再 Ctrl + r (上下翻转)等命令。 ESC(终止操作)如发生了错误删除,用“Virtuoso Schematic Editing:”窗口左边中间的 undo 工具(或 u),注意:只能恢复前一个被删除的对象。最后 check and save.二、层次化设计symbol 生成 1symbol 生成 进入“Virtuoso Schematic Editing:mylib nand2 schematic”窗口。 Design - Create Cel

    8、lview-From Cellview 在 Cellview From Cellview窗口,From View Name 栏为:schematic,Tool / Data Type 栏为Composer-Symbol。 OK 在“Symbol Generation Options”窗口,单击 Load/Save 按纽,OK 出现“Virtuoso Schematic Editing:mylib nand2 symbol”窗口,Design-Check and Save 改变 symbol 形状:用 m命令将 Symbol 的上边界位置和 INA管脚向上移动一网格,得到下图:用 m 命令将 3

    9、 个 cdsParam(n)移到方框外面的下方,将 cdsName()移到方框外面上方,将字符 OUT 移到方框外面右方,删除内部绿色方框。 参照下图,单击菜单 Add - Shape - Circle,加个小圆圈,Add - Shape - Arc,加个圆弧(先确定上下 2 点,如出现 angle not allowed 不必紧张,稍微移动鼠标试一试,你会发现如何画弧),用m将左边的红色外框右移(缩短原 symbol 外框),2 个 PIN以及连线(INA和INB)同样右移,用 Line工具画出缺少的 3 边。上述步骤中,均使用 ESC取消操作命令。 画好后,Design - Check a

    10、nd Save 2创建amplifier的 symbol: 仿照前述步骤,得到如下 symbol:提示:在“Symbol Generation Options”窗口,将 iref 管脚(Pin)设置为 Bottom Pin。 然后删除 cdsParam(3), r旋转 pin 名iref, (选做)删除红色外框,选择 Selection Box 工具,在“Add Selection Box”中,Automatic 添加 Text:Add - Note - Note Text,在“Add Note Text”窗口的 Note Text文本框中:Amp 将鼠标移至“Virtuoso Symbol

    11、Editing:mylib amplifier symbol”窗口,在你希望的位置单击,回到“Add Note Text”窗口,Cancel。 Save。 (如果你无法画斜线,鼠标右键点击 1、2 次就可切换成功) 二、 Spectre Simulation进入Cadence 系统: cd cjicfb & 实验内容与步骤: 一、nand2 电路仿真 1、创建激励信号电路模块: 在 CIW窗口(icfb-Log:/):Tools-Library Manager,弹出 Library Manager 窗口,在Library中应有 mylib,点击它。 File-New-Cellview, 在弹出

    12、的“Create New File”窗口 Cell Name 栏中,testnand2 Tool 栏中,选 Composer-Schematic, OK 在“Virtuoso Schematic Editing:”窗口中,按下图加入单元(Instance)、Pin并连线。 点击 Check and Save2、编写仿真文件 1设置仿真环境。点击 Tools-Analog Environment 2设置仿真模型文件路径。在弹出的“Analog Design Environment”(ADE)窗口,点击Setup-Simulation Files 在 Include Path 中加上 ./Mode

    13、ls , -OK3输入模型文件名。在 ADE 窗口,点击 Setup-Model Libraries 在 Model Library File 栏中:testmodels.scs,-add-OK4选择需要查看的信号。ADE 窗口,点击 Outputs-To Be Plotted-Select On Schematic 在 Schematic原理图中点击 3 个 Pin 的连线(线会变颜色)。相应地,此时 ADE 窗口 Outputs框中就有了你所要观察的信号。再选择Analyseschoose,设置仿真tran,100us,Enabled,OK5. 保存仿真激励文件。点击 ADE 窗口的 Se

    14、ssion-Save State Save As 栏:state1 OK (可以关掉“Analog Design Environment”窗口)。 3、将激励模块加入被仿真电路。 1回到 Schematic 窗口,生成 testnand2 单元的 symbol Design - Create Cellview-From Cellview 在 Cellview From Cellview窗口,From View Name 栏为:schematic,Tool / Data Type 栏为Composer-Symbol。 OK 在“Symbol Generation Options”窗口,将“Top

    15、 Pins”栏中的“OUT INB INA”拷贝到Right Pins 栏中,删除 Top Pins 栏中的原来内容,OK 出现“Virtuoso Schematic Editing:mylib testnand2 symbol”窗口,Design-Check and Save, 退出 testnand2 的 symbol窗口。 2 在“Library Manager”窗口,打开 mylib 中你在实验一画好的 nand2 原理图,添加testnand2 元件,按下图连线。 保存文件 Check and Save。 (不要退出 Schematic 窗口)4、仿真 1“Virtuoso Sche

    16、matic Editing:”窗口,Tools -Analog Envienment 2加激励。弹出 ADE(Cadence Analog Design envirnment)窗口,Session - Load State。 在“Loading State”窗口,Library选mylib,Cell选 testnand2, Simulator 选 spectre; State Name 框中选:state1, OK 3设置分析模式和仿真时间。点击 ADE 窗口的 Analyses-Choose Analysis选项中 tran应有效;Stop Time: 200n Enabled有效, OK

    17、4计算。点击 ADE 窗口右边 Netlist and Run,弹出“Welcome to Spectre”窗口,OK 你应该看到 spectre.out 文件和仿真波形 Waveform。 5选择 Waveform窗口上方菜单 Axes-Strips, 各信号波形分开显示。 6用鼠标拖Waveform Window 的边界,放大显示区域。 7试一试,怎样看波形数据? 完成后,在 ADE 窗口,Session - Quit 二、amplifier 电路(运算放大器)仿真 1、打开你在实验一画好的 amplifier原理图,选中电容,Q,Capacitance值设为 800f,Save and

    18、Check 退出。 2、新建testamplifier 单元 1、查看 CIW 窗口:Tools-Library Manager,在 Library中应有 mylib,点击它。 在 Library Manager 窗口,File-New-Cellview, 在弹出的“Create New File”窗口 Cell Name 栏中,testamplifier Tool 栏中,选 Composer-Schematic OK 2、添加元件如下图:Amp 单元(Cell)是你在实验一画好的 amplifier Cell 的 Symbol,其余单元在 analogLib 库中。3将原理图check an

    19、d save! 4(选做)选 Amp 模块,e,Descend 窗口 OK,进入 Amp 模块(amplifier),自选一个你希望检查的网线,q 查看网线属性,如果 net Name 是 netXX, 如何将它改为 tst? Design -Make Editable,左边工具图标全有效了, Save and Check CTRL+e,退回到上一层。Save and Check 3、设置仿真环境 1点击 Tools-Analog Environment,弹出 ADE 窗口 2点击 Setup-Simulation Files 在 Include Path 栏中 ./Models -OK 3点

    20、击 Setup-Model Libraries 在 Model Library File 下输入:testmodels.scs-add-OK 4.点击 Outputs-To Be Plotted-Select On Schematic 在原理图中点击与 Amp 单元 4 个管角相连的信号线(假设是你希望观察的信号),线会变颜色,端口被圈;选 Amp 模块,e,Descend 窗口 OK,进入 Amp 模块(amplifier),点击 gnode线,再选中一个你希望检查的网线; 5此时 ADE 窗口 Outputs 下已有你需要观察的信号,点击 Session-Save State Save A

    21、s:state2-OK4、仿真运算放大器的闭环增益 1(如未退出 ADE,本步可以不做)在 ADE(Cadence Analog Design envirnment)窗口,Session - Load State。 在“Loading State”窗口,Library选mylib,Cell选 testampifier, State Name 为 state2, OK 2点击 Analyses-choose 将 stop time 从 100n 改为10u -OK 3点击 ADE 窗口右边 Netlist and Run,弹出“Welcome to Spectre”窗口,OK 你应该看到 spectre.out 文件和仿真波形 Waveform。 4在 Waveform窗口,查看波形,Axes - Strips, 各信号波形分开显示。


    注意事项

    本文(微电子实验内容.docx)为本站会员主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

    copyright@ 2008-2023 冰点文库 网站版权所有

    经营许可证编号:鄂ICP备19020893号-2


    收起
    展开