欢迎来到冰点文库! | 帮助中心 分享价值,成长自我!
冰点文库
全部分类
  • 临时分类>
  • IT计算机>
  • 经管营销>
  • 医药卫生>
  • 自然科学>
  • 农林牧渔>
  • 人文社科>
  • 工程科技>
  • PPT模板>
  • 求职职场>
  • 解决方案>
  • 总结汇报>
  • ImageVerifierCode 换一换
    首页 冰点文库 > 资源分类 > DOCX文档下载
    分享到微信 分享到微博 分享到QQ空间

    EDA实验报告60S电子闹钟.docx

    • 资源ID:16537402       资源大小:163.99KB        全文页数:9页
    • 资源格式: DOCX        下载积分:5金币
    快捷下载 游客一键下载
    账号登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录
    二维码
    微信扫一扫登录
    下载资源需要5金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP,免费下载
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    EDA实验报告60S电子闹钟.docx

    1、EDA实验报告60S电子闹钟 EDA实验报告 题 目 60秒电子闹钟 学 院 电子工程学院 专 业 学生姓名 导师姓名 初秀琴 一 功能描述电路上电后自动计时,到达预置的闹响时刻后,由扬声器发出音乐报警。闹响时刻可利用DIP开关设置,两位数:059。二 设计思路电路主要由分频器、M60计数器、闹铃电路、显示电路等部分组成。秒信号脉冲可由分频器产生,用DIP开关设置闹响时刻,当M60计数器的输出与设置的闹响时刻相等时,闹铃电路输出脉冲驱动扬声器发出音乐报警。总体设计思路如图1所示:图1 总体设计思路三 功能模块1 分频器程序代码如下:library ieee;use ieee.std_logic

    2、_1164.all;use ieee.std_logic_unsigned.all;entity devide isport( clk :in std_logic; -输入时钟 clk_out :out std_logic -输出信号 );end devide;architecture arc_devide of devide is signal count:std_logic_vector (14 downto 0); -定义内部信号 begin process begin wait until clkevent and clk=1; if(count32767)then -改变最大计数值即

    3、可得到不同的分频系数 count=count+1; clk_out=1; end if; end process;end architecture arc_devide;符号图如图2所示:图2 分频器符号图2 M60计数器程序代码如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity bcd_m60 isport ( CLK :in std_logic; EN :in std_logic; CR :in std_logic; QL,QH :out std_logic_vector(3

    4、downto 0) -8421BCD码个位、十位输出 );end bcd_m60;architecture behav of bcd_m60 is signal couL,couH:std_logic_vector(3 downto 0);begin process(CR,CLK) begin if CR=0 then -异步复位 couL=0000; couH=0000; elsif clkevent and clk=1 then if EN=1 then if (couL=9 and couH=5) then -个位计到9十位计到5回零 couL=0000; couH=0000; elsi

    5、f couL=9 then -个位计到9回零十位加1 couL=0000; couH=couH+1; else couL=couL+1; -否则个位加1 end if; end if; end if; end process; QL=couL; QH=couH; end behav;符号图如图3所示:图3 M60计数器符号图仿真波形如图4所示:图4 M60计数器仿真波形经分析,M60计数器仿真波形正确。3 闹铃电路程序代码如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity aler

    6、t isport ( STOP :in std_logic; -控制是否响铃 CLK :in std_logic; DIPL,DIPH :in std_logic_vector(3 downto 0); QL,QH :in std_logic_vector(3 downto 0); SPEAK :out std_logic );end alert;architecture behav of alert isbegin process(STOP,CLK,QL,QH) begin if STOP=0 then SPEAK=0; elsif QL=DIPL and QH=DIPH then -输出脉

    7、冲驱动扬声器 SPEAK tmp tmp tmp tmp tmp tmp tmp tmp tmp tmp tmp tmp tmp tmp tmp tmp null; end case; end process; a=tmp(6); b=tmp(5); c=tmp(4); d=tmp(3); e=tmp(2); f=tmp(1); g=tmp(0);end arc;符号图如图6所示:图6 显示电路符号图四 总体仿真顶层文件原理图如图7所示:图7 顶层文件原理图仿真波形如图8所示:如图8所示,当M60计数器计数与置入时刻相等时,SPEAKOUT为脉冲,驱动扬声器发声,从而实现报警。五 心得体会学习quartus II 的使用花了相当长的时间,虽然最后做出来的电路比较简单,但感觉很有成就感,因为这是我慢慢摸索得到的成果。


    注意事项

    本文(EDA实验报告60S电子闹钟.docx)为本站会员主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

    copyright@ 2008-2023 冰点文库 网站版权所有

    经营许可证编号:鄂ICP备19020893号-2


    收起
    展开