欢迎来到冰点文库! | 帮助中心 分享价值,成长自我!
冰点文库
全部分类
  • 临时分类>
  • IT计算机>
  • 经管营销>
  • 医药卫生>
  • 自然科学>
  • 农林牧渔>
  • 人文社科>
  • 工程科技>
  • PPT模板>
  • 求职职场>
  • 解决方案>
  • 总结汇报>
  • ImageVerifierCode 换一换
    首页 冰点文库 > 资源分类 > DOCX文档下载
    分享到微信 分享到微博 分享到QQ空间

    机电一体化毕业设计案例题目新版.docx

    • 资源ID:16200443       资源大小:41.06KB        全文页数:64页
    • 资源格式: DOCX        下载积分:5金币
    快捷下载 游客一键下载
    账号登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录
    二维码
    微信扫一扫登录
    下载资源需要5金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP,免费下载
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    机电一体化毕业设计案例题目新版.docx

    1、机电一体化毕业设计案例题目新版知识不仅是指课本的内容,还包括社会经验、文明文化、时代精神等整体要素,才有竞争力,知识是新时代的资本,五六十年代人靠勤劳可以成事;今天的香港要抢知识,要以知识取胜机电一体化专业毕业设计课题毕业设计11、题目:设计一个程序实现如下功能: 1自动:通过改变A/D输入端可变电阻来改变D/A输入检测量大小进而改变直流电动机的转速 2手动:在键盘上设置两个按键-直流电动机加速键;直流电动机减速键在手动状态下每按一次键电动机的转速均按照约定的速率改变 3用显示器显示的数码移动的速度来及时的形象的跟踪直流电动机转速的变化情况二、设计环境硬件: 1、A/D转换芯片ADC0809;

    2、D/A转换芯片DAC0832 2、直流电动机双极性控制:00H-逆时针转最快80H-停止FFH-顺时针转最快 3、显示器采用164串行输入串入段码地址:0FF06H(D0) 串入时钟地址:0FE04H(D0) 显示器位控地址:0FE02H(D5D0) 4、键盘列扫描(46)行地址:0FE00H(D3D0) 列地址:00FE02H(D5D0) 5、扩展口:CS0-08000H CS1-0A000H CS2-0C000H软件:韦福Lab2000P单片机实验仿真系统 毕业设计2一、设计题目:单片机直流电机调速系统的设计 二、设计的内容要求: 1设计的目的和意义:训练正确地应用单片机培养解决工业控制工

    3、业检测等领域具体问题的能力;学生通过所做课题熟悉单片机应用系统开发研制的过程软硬件设计的工作方法工作内容工作步骤;对学生进行基本技能训练例如组成系统、编程、调试、绘图等使学生理论联系实际提高动手能力和分析问题解决问题的能力2设计的任务及步骤:了解电机调速的工作原理及其单片机控制的工作过程;设计单片机直流电机调速控制系统;根据设计的电路利用单片机仿真机电动机等完成系统硬件电路的连接和调试.编制程序实现直流电动机系统调速3内容要求:设计论文内容要正确概念要清楚;完成任务书所规定的内容;附有原理图及程序流程图以及程序清单;文字要通顺书写要工整设计图纸必须符合规范 毕业设计3一、题目:简易数字电压表的

    4、设计二、要求:简易数字电压表可以测量05V的8路输入电压值并在四位LED数码管上轮流显示或单路选择显示测量最小分辨率为0.019V测最误差约为 0.02V三、内容:(1) 方案总体设计(2) 控制系统硬件电路设计(显示采用四位数码管)(3) 软件流程图设计汇编程序编写(4) 电气原理图的绘制 毕业设计4一、题目:基于单片机的数字钟二、要求:采用单片机实现数字钟的基本功能要求采用4位数码管高两位显示小时低两位显示秒;采用开关控制数字钟的启动、停止、复位和调整时间;时间显示采用24小时制三、内容:1方案总体设计2控制系统硬件电路设计(显示采用四位数码管)3软件流程图设计汇编程序编写4电气原理图的绘

    5、制 毕业设计课题5一、任务:设计并制作一台出租车计价器二、要求:1、基本要求(1) 不同情况具有不同的收费标准&O1548; &O1472;白天&O1548; &O1472;晚上&O1548; &O1472;途中等待(10min 开始收费)(2) 能进行手动修改单价(3) 具有数据的复位功能(4) IO 口分配的简易要求&O1548;&O1472;距离检测使用霍尔开关A44E&O1548;&O1472;白天/晚上收费标准的转换开关&O1548;&O1472;数据的清零开关&O1548;&O1472;单价的调整(最好使用&47;&48;和&47;&10min 开始收费) (2) 能进行手动修改单

    6、价 (3) 具有数据的复位功能 (4) 课题选题IO 口分配的简易要求 &O1548;&O1472;距离检测使用霍尔开关A44E &O1548;&O1课题选题472;白天/晚上收费标准的转换开关 &O1548;&O1472;数据的清零开关 &O1548;&O1课题选题472;单价的调整(最好使用&47;&48;和&47;&48;按键)课题选题(5) 数据输出(采用LCM103) &O1548;&O1472;单价输出2 位 &O1548;&O课题选题1472;路程输出2 位 &O1548;&O1472;总金额输出3 位 (6) 按键 &O1548;&课题选题;O1472;启动计时开关 &O154

    7、8;&O1472;数据复位(清零) &O1548;&O1472;课题选题白天/晚上转换 毕业设计6 一、题目:电子实时时钟/万年日历系统 二、功能要求: 1 基本要求:课题选题 显示准确的北京时间(时、分、秒)可用24小时制式; 随时可以调校时间2 发挥要求: 增加公历日期显示功课题选题能(年、月、日)年号只显示最后两位; 随时可以调校年、月、日; 允许通过转换功能键转换显示时间或日期三、方课题选题案考虑: 1、硬件方案: 显示器采用6位LED数码管(共阳)可分别显示时间或日期;(通过KB键可切换) 显示课题选题器的驱动采用动态扫描电路形式以达到简化电路的目的但要注意所需的驱动电流比静态驱动时

    8、要大因此要增加驱动电路可采用74LS244或者晶课题选题体管;其中74ls244是用来驱动段选码晶体管是驱动位选码! 毕业设计7 一、设计题目:程控直流稳压课题选题电源 二、设计要求: 1设计指标 参数均由自己指定要求具有可测试性2原理图设计(用C51系列单片机控制的)要课题选题细化到芯片3软件实现:用Keil C编程(即熟悉对8051用C编程 窗口标题窗口内容 窗口标题窗口内容 换模板 乱弹 | 注册 |登录 走自己的路 重新开始生活会更美好! 首页 关于我 说说 日记 相册 音乐 好友 上一篇下一篇返回列表转发 湖北某学院07级机电一体化技术专业毕业设计课题2009-10-10 19:14

    9、课题一、中小型电气设备的安装指导老师:戴胜坤设计要求:1、安装资料及要求包括:平面图;用电负荷情况;供电电源情况;气象及水文资料等2、选址:位置、型号的选择3、土建施工4、设备安装课题二、小电流接地装置的设计指导老师:戴胜坤设计要求: 1、 阅读小电流接地装置设计与安装相关文献约10篇;2、 自选小电流接地装置设计与安装的课题3、 利用ATP的仿真软件对小电流接地系统进行仿真;4、撰写毕业论文课题三、交通信号灯模拟控制系统设计指导老师:倪涛 游佳用两种方法设计交通信号灯模拟控制系统 方案一:用单片机设计该系统;方案二:用PLC设计该系统;并对两种方案进行比较最后确定主设计方案并完成控制系统的设

    10、计具体要求:0. 晶振采用12MHz 1、正常情况下A、B道(A、B道交叉组成十字路口A是主道B是支道)轮流放行A道放行60s(其中5用于警告)B道放行30(其中5用于警告)将交通灯的延时时间以倒计时的形式在数码管上显示出来 2、一道有车而另一道无车(用按键开关S1、S2模拟)时使有车车道放行 3、当发生交通意外时中断产生(用按键开关S0模拟)时A、B道均为红灯进行交通事故处理当事故处理完毕重新按上述方式工作课题四、64点温度监测与控制系统的设计指导老师:倪涛 游佳 设计内容:64点温度监测与控制系统针对室温环境下的温度监控如大型机组的轴温大型变压器油温化学反应过程环境测试等控制核心采用微处理

    11、器或单片机监测点温度温度范围采用半导体温度传感器按矩阵方式切换输入信号输出8路开关控制信号和2路模拟信号(具备控制能力)同时要求利用微处理器或单片机的已有通讯接口或其它工业控制网络实现数据上传和控制设计要求:1.总体方案设计需要提出至少两种切实可行的方案并加以比较选择一种最优方案;2.根据总体方案设计硬件电路需要有理论依据有分析计算过程选择的主要元件要有原理和说明所有元件必须有型号和参数;3.软件设计使用汇编语言或C语言编成主要软件必须能在设计制作的硬件电路上正确运行且能够显示被测试对象的温度;4.制作硬件电路调试硬件和软件完成温度检测与测试点切换实现温度上传并在屏幕上显示或存盘;5.撰写毕业

    12、论文严格按照毕业论文标准论文引用其它文章和相关技术资料不得多于40%课题五、 用8051单片机设计一交通信号灯模拟控制系统指导老师:潘纹一、设计任务与要求: 用单片机8051设计一个十字路口的红、绿、黄交通信号灯控制系统要求如下: 1)用红、绿、黄三色发光二极管作信号灯考虑到学生设计时的难度只考虑一条道路相对的两个方向每个方向有红、绿、黄三个灯红灯亮禁止通行绿灯亮允许通行每隔30秒红绿灯交替变化在每次由绿灯亮变成红灯亮或者由红灯亮变成绿灯亮的交替变化转换时要求黄灯闪烁5秒给行驶中的车辆有时间停靠到禁行线之外 2)能实现正常的计时显示功能用倒计时方法显示红灯、绿灯、黄灯还需亮的时间3)能实现控制

    13、器总清零功能 按下某个键后系统实现总清零计数器由初始状态开始计数对应状态的指示灯亮 二、根据设计任务与要求:画出设计总电路图写出设计程序课题六、盘形凸轮轮廓曲线的设计 指导老师:潘纹一、设计条件:凸轮的基圆半径为r0滚子半径为r偏距为e凸轮沿逆时针方向等速回转推杆的运动规律如下图所示试用反转法原理设计以下五种盘形凸轮机构的轮廓曲线:1 对心直动尖顶从动件盘形凸轮机构的轮廓曲线2 偏置直动尖顶从动件盘形凸轮机构的轮廓曲线3 对心直动滚子从动件盘形凸轮机构的轮廓曲线 4 偏置直动滚子从动件盘形凸轮机构的轮廓曲线5 对心直动平底从动件盘形凸轮机构的轮廓曲线二、设计要求:要求写出具体的设计步骤并画出相

    14、应的图形课题七、单片机步进电机控制器的设计指导教师:倪涛 游佳设计要求:1.用MCS-51单片机通过软件编程设计一个步进电机控制器要求能对步进电机实现正、反转及速度控制同时能对步进电机进行位置控制即能控制步进电机从一个位置精确地运行到另一个位置2.具体要求如下:设计一个步进电机控制系统用单片机控制四相反应式步进电机系统采用软件代替脉冲分配器让MCS-51的P1.0、P1.1、P1.2、P1.3产生具有一定规律的脉冲序列分别完成正、反向不同速度的旋转同时可以完成指定角度的旋转课题八、传感器在机电一体化系统中的应用及发展的研究指导老师:方玮 周小薇论文要求:1.了解传感器在机电一体化系统中的作用及

    15、地位2.机电一体化系统中常用传感器的类型、特点、结构及用途等3.如何为机电一体化系统选择传感器(举例说明)4.机电一体化系统中常用传感器的发展相关知识:本课题要求学生综合传感器技术机电一体化技术控制电机等相关知识进行编写课题九、水轮机制动系统的设计指导老师:方玮 周小薇设计要求:掌握一定的电气控制技术的基础知识可以利用PLC进行编程并且对气压传动和液压传动有一定的了解还要求能够运用基本的绘图软件进行绘图设计内容:本设计共有三个部分:电气控制部分、流体控制部分、PLC编程部分(一)电气控制部分设计任务:1.监控24点制动闸动作状态通过指示灯显示2.24点制动闸动作后向PLC发出制动成功或复位信号

    16、3.压力站气路压力数显示向PLC发出420mA的模拟信号4.根据PLC传送来的420mA的模拟信号显示相应的转速(二)流体控制部分设计任务:采用节流阀控制流量水份分离器净化空气两个三通换向阀分别控制汽缸的上、下腔;气液混用三通球阀进行气、油的转换控制多块压力表可直接读数等(三)PLC编程部分设计任务:根据给出的梯形图进行编程课题十、小车装卸料运行plc控制系统设计指导老师:方玮 周小薇在一些自动化生产线上为了实现多地点随机卸料或者多地点随机搜集成品或(废品)经常会用到一台装卸料小车如下图所示的装卸料小车可以根据请求在5个位置停车进行装卸料SQ1SQ5为5个停车位置的行程开关小车压上时为ON小车离开时为OFFSB1SB5为互锁的琴键开关用于选择小车的位置控制要求:小车在电动机的拖动下运行电动机正转时小车右行电动机反转时小车左行具体控制如下:1、当选择按钮号与小车位置压下的行程开关号同时按下启动按钮小车停止不动2、当选择按钮号大于小车


    注意事项

    本文(机电一体化毕业设计案例题目新版.docx)为本站会员主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

    copyright@ 2008-2023 冰点文库 网站版权所有

    经营许可证编号:鄂ICP备19020893号-2


    收起
    展开