欢迎来到冰点文库! | 帮助中心 分享价值,成长自我!
冰点文库
全部分类
  • 临时分类>
  • IT计算机>
  • 经管营销>
  • 医药卫生>
  • 自然科学>
  • 农林牧渔>
  • 人文社科>
  • 工程科技>
  • PPT模板>
  • 求职职场>
  • 解决方案>
  • 总结汇报>
  • ImageVerifierCode 换一换
    首页 冰点文库 > 资源分类 > DOCX文档下载
    分享到微信 分享到微博 分享到QQ空间

    数字电子技术基础八位二进制加法器课程设计报告.docx

    • 资源ID:16122647       资源大小:372.42KB        全文页数:20页
    • 资源格式: DOCX        下载积分:5金币
    快捷下载 游客一键下载
    账号登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录
    二维码
    微信扫一扫登录
    下载资源需要5金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP,免费下载
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    数字电子技术基础八位二进制加法器课程设计报告.docx

    1、数字电子技术基础八位二进制加法器课程设计报告数字电子技术基础八位二进制加法器课程设计报告前言本次课程设计介绍了一种基于数字电子技术的八位二进制加法器,实现了如下功能:1、八位二进制加数与被加数输入2、三位数码管显示3、三位十进制加数与被加数的输入该电路系统主要分为八位二进制加法器和三位十进制加法器两个系统:八位二进制加法器系统由二进制输入电路、二进制加法运算电路、二进制码到十进制8421BCD码的转换电路和三位数码管显示输出4个模块组成;三位十进制加法器系统由十进制的输入电路、三位十进制8421BCD码加法运算电路、四位数码管显示输出3个模块组成。设计过程:首先由本小组两名同学:李冰玉,梁辉在

    2、互联网以及图书馆查阅了相关资料与文献,并参考了清华大学出版社06年版数字电子技术基础等参考教材,进行了资料整理以及设计构思。随后组员分工设计,由李冰玉同学设计八位二进制加法系统,梁辉同学设计三位十进制加法系统,两位同学共同设计二进制-十进制BCD码转换系统电路和输出、显示系统。最后将电路模块整合为一个整体电路系统,并应用Multisim软件进行了设计电路图的绘制和仿真检验。 前言 2第一章系统概述 51.总体设计思想 52.总体设计方案及选择 53.系统框图 114.工作原理 11第二章单元电路设计与分析 121.三位十进制数的加法运算模块 121.1 8421BCD码编码器原理 131.2

    3、8421BCD码加法器原理 131.3三位8421BCD码加法器电路 142.八位二进制加法运算模块 162.1八位二进制的加法电路的实现 162.2数码管的显示 17第三章系统综述、总体电路图 18 1.系统综述 182.总体电路图 182.1三位十进制数加法总电路图 192.2八位二进制数加法总电路图 20 第四章结束语 21收获与体会 21鸣谢 22元器件明细表 . 22参考文献 2摘要:加法运算是最重要最基本的运算,所有的其他基本算术运算,减、乘、除、模乘运算最终都能归结为加法运算。在不同的场合使用的加法器对其要求也不同,有的要求速度快,有的要求面积小。常见的加法器有串行进位加法器、超

    4、前进位加法器等,因此可以通过选取合适的器件设计一个加法器。关键字:串行进位加法器 74LS283超前进位加法器 74185二-十进制BCD代码转换器 数码管显示设计要求:1.八位二进制加数与被加数的输入2.三位数码管显示3.三位十进制加数与被加数的输入第一章 系统概述1、总体设计思想、选择两个74LS283超前进位并行加法器的串接可以计算八位二进制数的加法运算、选择合适的器件将输出的八位二进制数转换成三位十进制数,通过数码管显示、通过8421BCD码编码器可以将十进制数转化成四位二进制数、选择74LS283超前进位并行加法器的组合形成8421BCD码加法器、编码器与74LS283加法器相接,通

    5、过 8421BCD码加法器串接可以计算三位十进制数的加法运算,输出结果通过数码管显示2、总体设计方案论证及选择方案一:设计要求数码管显示输出,由于数码管输入为十进制BCD码,而加数与被加数输入为八位二进制和三位十进制数,所以考虑添加74185二-十进制码转换器,先使加数与被加数转换为十进制BCD码信号,然后两组加数与被加数公用一个BCD码加法器进行运算,最后将十进制BCD码和数信号输入到数码管,实现数码管显示输出。出现的问题:设计过程中发现74185芯片在Multisim里不存在,由于出现顺序过早,严重影响制作与模拟,而此方案需要在加数与被加数输入部分分别放置一个转换器器,增加了元件使用量,且

    6、其系统本质为十进制加法器,有些与原题目性质分离,于是我们经过讨论,修改了此方案,得出方案二。方案二:相较于上一方案,本方案将码转换器放置在八位二进制加法器输出处,即先进行两组八位二进制数的加法运算,再将输出的九位二进制和数信号转换为三位十进制BCD码信号。将三位十进制加数与被加数直接输入到BCD码加法器进行运算,输出BCD码和数信号。两组和数信号共用一组数码管进行显示输出。该方案相比上一方案,虽然增加了一个加法器,增加了元件的使用,但是由于八位二进制加法器输出端为九位,所以在向十进制BCD码转换是大大减少了元件的使用,整体来说在元件节约上更加优秀。出现的问题:在两组电路共用一组数码管显示输出时

    7、,用Multisim软件进行仿真模拟运行码转换器后面部分出现了问题,预想解决方法为在输出端输入转换开关,但是所需元件太多,而且在使用时操作太麻烦,所以我们经过讨论,修改了此方案,得出方案三。方案三:此方案设置了两组数码管,对八位二进制加法运算的结果与三位十进制BCD码加法运算的结果分别进行显示输出。经过Multisim软件的运行检验加法器部分成功,且经分析转换部分不会影响结果。最后确定采用此方案进行设计。此方案将电路分为两个不相关的部分,这样必然会增加元件的使用,但是由于相关知识的局限,最多只能是简单的并联,并没有实际意义,目前是我们能拿出的最好的方案了,下面本文将详细讲解具体加法器部分。加法

    8、器方案设计方案一:串行进位并行加法器当有多位数相加,则可采用并行相加串行进位的方式来完成。例如,有两个位二进制数A3A2A1A0和B3B2B1B0相加,可以采用两片内含两个全加器或1片内含个全加器的集成电路组成,其原理图如下图所示:由图可见,每1位的进位信号送给下1位作为输入信号,因此,任1位的加法运算必须在低1位的运算完成之后才能进行。全加器的个数等于相加数的位数,高位的运算必须等低位运算结束,送来进位信号以后才能进行。它的进位是由低位向高位逐位串行传递的,故称为串行进位并行加法器。其优点是电路简单,连接方便,缺点是运算速度低。故放弃此方案。方案二:超前进位并行加法器为了提高运算速度,可以通

    9、过超前进位并行加法器来进行计算。上图是中规模四位二进制超前进位加法器的74LS283的逻辑符号。其中:A0A3、B0B3分别为四位二进制加数和被加数的输入端,S0S3为四位和数输出端,CI为最低进位输入端,CO向高位输送进位的输出端。这种超前进位加法电路的运算速度高的主要原因在于,进位信号不再是逐级传递,而是采用超前进位技术。超前进位加法器内部进位信号Ci可写为如下表达式:Ci=fi(A0,Ai,B0,Bi,CI)各级进位信号仅由加数、被加数和最低进位信号C决定,而与其他进位无关。这就有效地提高了运算速度。速度越高,位数越多,电路越复杂。例如,用两个74LS283四位加法器实现一个八位加法器的

    10、框图如图所示:可行性分析:第一种串行进位加法器的每一位加和值都依赖于上一位的进位信号,即进位信号是串行的经过加法器的每一位。所以进位链的长度与整个加法器的位数有关:加法器位数越宽,进位链越长,计算延迟越大串行进位加法器的进位链是串行的,进位链的长度直接与加法器的位宽有关。所以当加法器位宽很大时,进位计算的时延也将随之变得很大。第二种超前进位加法器,不同于串行加法器,对于每一位的结果是否有进位,不需要等前一位的进位结果计算出来,而是只要输入一旦到来,就可以经过一系列逻辑计算,同时计算出各位是否有进位。理论上讲,无论多少位的加法器都可以设计成超前进位加法器,可是,当位数大于4位的时候,超前进位逻辑

    11、就变得异常复杂,而失去的实用的意义,所在大多数做到4位。而多于4位的要求,就用多个超前进位加法器级联实现,并且方便计算十进制数的加法运算。综合各个方面的,我们可以选择超前进位加法器来设计电路。3、系统框图4、工作原理当输入十进制数的时候,8421BCD码编码器先开始工作,编码器先将十进制数转换成四位二进制数,输出的四位二进制数直接到达8421BCD码加法器的输入端,我们可以使用71LS185构成的一位8421BCD码的加法器,8421BCD码是用4位二进制数表示1位十进制数,4位二进制数内部为二进制,8421BCD码之间是十进制,即逢十进一。而四位二进制加法器是按四位二进制数进行运算,即逢十六

    12、进一。二者进位关系不同。当四位二进制数加法器74LS283完成这个加法运算时,要用两片74LS283。第一片完成加法运算,第二片完成修正运算。8421BCD码加法器工作时,8421BCD码的加法运算为十进制运算,而当和数大于9时,8421BCD码就产生进位,而此时十六进制则不一定产生进位,因此需要对二进制和数进行修正,即加上6(0110),让其产生一个进位。当和数小于等于9时,则不需要修正或者说加上0。因此我们可以通过三个8421BCD码加法器的相连组成一个三位串行进位并行加法器,这样通过低位向高位产生进位进行十进制的加法运算,最后通过连接数码管显示所得的结果。当输入二进制数的时候,两个串接的

    13、74LS283四位加法器进行加法运算,产生的八位二进制数通过集成芯片转换成三位十进制数,最后通过数码管显示。 在二进制加运算方面,该电路使用的是两个74LS283D芯片,该芯片是四位二进制超前进位并行加法器在该电路中,加数的八位数从高位依次输入,被加数的八位从高位依次输入,低位的四位对应进行加运算,当结果大于四位时,向高电位进位,结果输出,然后通过74185TTL二进制BCD代码转换器将结果转为三位十进制数通过数码管显示。第二章 单元电路设计与分析1.、三位十进制数的加法运算模块(梁辉)1.1 8421BCD码编码器原理在电路图中,左端的10个开关分别代表输入的十进制的十个数码09,输入低电平

    14、有效,即某一个开关闭合,对应的输入信号为0。输出为4位码,所以输出端输出的代码正好对应8421BCD码。因此我们可以通过这个电路将十进制数转换成对应的8421BCD码,然后再进行计算。8421BCD码编码器电路1.2 8421BCD码加法器原理这部分是使用四位加法器构成的一位8421BCD码的加法器,8421BCD码是用4位二进制数表示1位十进制数,4位二进制数内部为二进制,8421BCD码之间是十进制,即逢十进一。而四位二进制加法器是按四位二进制数进行运算,即逢十六进一。二者进位关系不同。当四位二进制数加法器74LS283完成这个加法运算时,要用两片74LS283。第一片完成加法运算,第二片

    15、完成修正运算。8421BCD码的加法运算时十进制运算,而当和数大于9时,8421BCD码就产生进位,而此时十六进制则不一定产生进位,因此需要对二进制和数进行修正,即加上6(0110),让其产生一个进位。当和数小于等于9时,则不需要修正或者说加上0。因此我们可以通过三个8421BCD码加法器的相连组成一个三位串行进位并行加法器,这样通过低位向高位产生进位进行十进制的加法运算。一位8421BCD码加法器电路图1.3 三位8421BCD码加法器电路三位8421BCD码加法器是基于一位8421BCD码的加法器的原理上连接的,十进制数的个位相加大于9,则8421BCD码的加法器就向下一级产生一个进位,输

    16、出为1,如没有输出为0,这样我们就可以通过三位串行进位加法器进行加法计算。三位8421BCD码加法器电路图2.八位二进制加法运算模块(李冰玉)2.1八位二进制的加法电路的实现74LS283串接实现八位二进制加法的原理:用两片74LS283进行串联,四位加数与四位被加数的低位在同一片74LS283上实现,高位在同一片74LS283上实现,将低位的进位输出端接至高位的进位输入端,最后输出9位二进制数。加数与被加数的输入在加数与被加数的输入方面,该电路使用的是数据开关和LED的控制输入,一面接5V的VCC,一面接地。当开关打到上方,指示灯亮,输入为高电平,该位输入即为“1”,反之灯灭,输入为“0”,

    17、这样可以有效解决八位二进制加数与被加数的输入。电路图如下:其中U1为高位输入输出,U3为低位的输入输出。最后输出的二进制从右至左读取。2.2 九位二进制在数码管的显示(梁辉、李冰玉)二进制在数码管的显示:想要将二进制输出在数码管上显示,首先要将二进制转化为相应的8421BCD码,然后进行译码最后用数码管显示,在本设计中,考虑到实验的复杂性,我们用四输入数码管,该数码管集成了8421BCD译码器。因而可以直接用来连在BCD转化电路上直接显示。九位二进制数的转化原理运用74185实现九位二进制数BCD码的转化原理图:74185TTL二进制BCD代码转换器管脚图:74185TTL二进制BCD代码转换

    18、器功能表:第三章 系统综述、总体电路图、系统综述:加法电路是数字电路中最基本的电路部分,在本次设计中,我们设计的是八位二进制相加和三位十进制相加并在数码管显示,我们采用74LS283来实现最基本的加法电路模块,74LS185来进行码制的转换,再经过补充和修改加法电路,最终实现本课题的要求。2 、总电路图:2.1 三位十进制数相加总电路图:2.2八位二进制数加法总电路图(74185部分自画非模拟):第四章 结束语本次设计方案经历了多次更改,最终定型,每一套方案起初都似乎很美好,但下手时都发现很麻烦,都觉得不够完美,每次遇到问题后,我们都想选择突破问题,而不是改变方案。在方案一次次的流产下,我们曾

    19、经一度无比的失落,第三个方案定型时,我们已经感受到“设计”的乐趣和设计的意义,于是我们终止了方案修改,因为我们已经学到了够多,做的够多了。收获与体会:在具体设计的过程中,我遇到了许多的困难。我不断地给自己提出新的问题,然后去论证、推翻,再接着提出新的问题。在这个循环往复的过程中,我这篇稚嫩的设计日臻完善。每一次改进我都收获良多,每一次修改后的成功我都能兴奋好长一段时间。虽然我的设计作品不是很成熟,仍然还有很多不足之处,但我心里仍然有一种莫大的幸福感,因为我实实在在地走过了一个完整的设计所应该走的每一个过程,并且享受了每一个过程,更重要的是这个设计中我们加入了自己鲜活的思想。在考虑8421BCD

    20、码加法器时,为了突破了起初们认为的256这个局限的问题,我突然想起上数电课的时候学到的一个“判九”电路,于是直接翻阅了课本资料,直接感受到认真学习听课的重要性,也庆幸自己做到了。在二进制加输出时,我们考虑它的位数可能是9位,于是及时与老师沟通,了解到74185这个重要的芯片,虽然在模拟软件里无法模拟,但是比起我们自己设计的转换电路,省了好多零件与步骤,这个时候深觉提问的重要性,我们不是万能的,但是如果有提问与学习的能力,那么一切问题都可以迎刃而解,虽然图书馆与网上长期的寻觅找来的75185的资料是全英文的,但是我觉得这完全不是问题,因为科学是无国界,同时我还发现学好专业英语的重要性,立志明年一

    21、定认真学习英语,这样能最大化优化我的学习与设计。虽然还有部分构想因为知识储备的问题,难以实现,但是对于我的第一件设计作品来说,我们很满意,也很有成就感,因为我们真正学到了书本上没有的知识,也学会了团队合作,任务上我们分工明确,但是思想上我们高度统一,互相探讨,我想帮助,共同完成任务。我想,在今后的学习生活中,我们会更加努力,不让做不出来再次成为设计不良的借口。本次设计即将结束,设计过程是繁琐的,甚至有时会有因为挑错课题吃亏想放弃的想法,但是我通过自己不懈的努力,学习到了知识,并且能将它付诸于我的设计,可以说结果是可喜的。这次设计也让我对专业的产生了浓厚的兴趣,我决心在在今后的学习生活中更加努力

    22、,遇到问题努力解决,遇到想法努力实施,更希望有一个自己完美的作品在不久的将来。到此,本篇论文基本结束,谢谢老师能花时间仔细阅读本篇论文,在此也对所有帮助过我的人深表感谢。鸣谢:首先感谢各位老师细心答疑讲解我们设计出现的问题和提出建议解决方法;感谢网络文档加法器设计的作者,供大家阅读参考,这篇论文给本次电路的设计帮了一个大忙;感谢我们电控学院数电教材,它才是我们本次设计思想与知识的根本,许多思想就是参考的林涛老师主编数字电子技术基础这本书上的例题;而后,要感谢我们电控学院08级智能一班的同学们,在我们知识不足的情况下帮助我们,教导我们,甚至帮我们从图书馆借阅精心挑选的书籍;最后,很感谢我们这个团

    23、队在设计方案不成功的时候,大家一起商议、修改,最终将方案定下来,在大家都很失落时,没有人选择放弃,我们都抱着坚定的信念,这个信念带领我们做完整个课程设计,我相信它还会带领我们走完学习生活。元 器 件 明 细 表序 号名 称型号参数数 量备 注1超前进位并行加法器74LS283D8四位二进制2与非门74STD7422N184输入端3与非门74STD7403N62输入端4或门74STD7432N62输入端5六反相器74STD7404N66与非门74STD7400N62输入端7与非门74ALS10AM33输入端8开关DSWPK_10610输入端开关DSWPK_828输入端9电阻601k10数码管DCD_HEX4


    注意事项

    本文(数字电子技术基础八位二进制加法器课程设计报告.docx)为本站会员主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

    copyright@ 2008-2023 冰点文库 网站版权所有

    经营许可证编号:鄂ICP备19020893号-2


    收起
    展开