欢迎来到冰点文库! | 帮助中心 分享价值,成长自我!
冰点文库
全部分类
  • 临时分类>
  • IT计算机>
  • 经管营销>
  • 医药卫生>
  • 自然科学>
  • 农林牧渔>
  • 人文社科>
  • 工程科技>
  • PPT模板>
  • 求职职场>
  • 解决方案>
  • 总结汇报>
  • ImageVerifierCode 换一换
    首页 冰点文库 > 资源分类 > DOCX文档下载
    分享到微信 分享到微博 分享到QQ空间

    数字电子实习设计能显示小时分秒的数字钟之欧阳生创编.docx

    • 资源ID:16082213       资源大小:29.70KB        全文页数:8页
    • 资源格式: DOCX        下载积分:5金币
    快捷下载 游客一键下载
    账号登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录
    二维码
    微信扫一扫登录
    下载资源需要5金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP,免费下载
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    数字电子实习设计能显示小时分秒的数字钟之欧阳生创编.docx

    1、数字电子实习设计能显示小时分秒的数字钟之欧阳生创编数字电子实习时间:2021.02.08创作人:欧阳生实习题目:报时式数字钟的设计指导教师:吴勇 马占辉 班 级:测控 08-2班 姓 名: 于国庆 学 号: 29号 一、 软件介绍3二、 设计任务与要求5三、 设计原理5四、 设计过程6五、 元器件清单13六、 实习心得14七、 参考文献16一、 软件介绍Max+plus开发软件是Altera公司自行设计的可编程逻辑器件的EDA开发工具。它是一种与器件结构无关的集成设计环境,提供了灵活和高效的界面,允许设计人员选择各种设计输入方法和工具,能够支持Altera公司的MAX、Classic、FLEX

    2、以及ACEX系列的PLD器件。Max+plus界面友好,使用便捷,被誉为业界最易用易学的EDA软件。在Max+plus上可以完成设计输入、元件适配、时序仿真和功能仿真、编程下载整个流程,它提供了一种与结构无关的设计环境,是设计者能方便地进行设计输入、快速处理和器件编程。 Max+plus开发系统的特点 作为最为流行的EDA开发软件,Max+plus为设计人员提供的丰富功能、灵活的操作界面是其他同类软件无可比拟的。概括起来,此软件主要有以下几个特点: 1、支持多种操作平台Max+plus开发软件可在基于windowsNT4.0、windows95、windows98、windows2000、操作

    3、系统下运行,也可在Sun SPARC Station、HP9000Series 700/800和IMB RISC System/6000工作站上运行。2、提供开放性的界面 Max+plus支持与Cadence,Exemplarlogic,Mentor Graphics,Synplicty,Viewlogic和其它公司所提供的EDA工具接口。 3、与结构无关 Max+plus系统的核心Complier支持Altera公司的FLEX10K、FLEX8000、FLEX6000、MAX9000、MAX7000、MAX5000和Classic可编程逻辑器件,提供了世界上唯一真正与结构无关的可编程逻辑设计

    4、环境。 4、完全集成化 Max+plus的设计输入、处理与较验功能全部集成在统一的开发环境下,这样可以加快动态调试、缩短开发周期。 5、丰富的设计库 Max+plus提供丰富的库单元供设计者调用,其中包括74系列的全部器件和多种特殊的逻辑功能(Macro-Function)以及新型的参数化的兆功能(Mage-Function)。 6、模块化工具 设计人员可以从各种设计输入、处理和较验选项中进行选择从而使设计环境用户化。 7、硬件描述语言(HDL) Max+plus软件支持各种HDL设计输入选项,包括VHDL、Verilog HDL和Altera自己的硬件描述语言AHDL。 此外此软件能直接阅读

    5、到芯片的功能:最直接的帮助来自于Max+plus的Help菜单。若需要某个特定项目的帮助信息,可以同+键或者选用工具栏中的快速帮助按钮“ ”。此时,鼠标变为带问号的箭头,点击“特定的项目”就可弹出相应的帮助信息。这里的“特定项目”,可以包含某个器件的图形、文本编辑中的单词,菜单选项,甚至可以是一个弹出的窗口。二、设计任务与要求设计一台能显示小时,分,秒的数字钟。具体要求如下:1、 完成带时分秒显示的24H计时功能;2、 能完成整点报时功能,要求当数字钟的分和秒计数器计59MIN52S 时,驱动音响电路,四低一高,最后一声高音结束,整点时间到;3、 完成对“时”和“分”的校时,并能对秒计数器清零

    6、。三、 设计原理 该数字时钟由振荡器,分频器,秒计数器,分计数器,小时计数器,校时电路,报时电路和显示电路等几部分组成。小时计数器有24h计时和12h计时两种,本时钟用的是24h计时。校时电路可对分,小时计数器进行校时,报时电路可对整点时间进行音响报时。应该将整个设计过程分成各个模块来设计,这样既能理清设计的思路,又能在出错是迅速找到错误根源并改正。小时计数器是一个24进制的计数器,利用一片74LS490和一些门电路构成一个24进制的计数器。分计数器是一个60进制的计数器,利用一片74LS490和一些门电路构成一个60进制的计数器。秒计数器设计同分计数器。显示电路由六位数码管构成。报时电路主要

    7、由高频和低频两个时钟脉冲组成。校时电路是通过一些手动开关,门电路等组成的来控制分计数器,小时计数器的脉冲,以达到校时、校分的目的。四、 设计过程 打开MAX-PLUS2软件,它的快捷方式的图标是,在此环境下新建一个项目,当点新建图标时会出现如下图一所示对话框,我们先选择第一个,即Graphic Editor file, 图一 此环境是设计原理图的界面,然后开始进行自己的设计我做的是报时式数字钟的设计。选用了3片74490芯片引脚图和功能表如下:可以看出这是10进制的计数器,时钟脉冲是下降沿有效。设计时钟的秒显示,如下图:如上图,Q0Q3是秒的个位,Q4-Q7是秒的十位, G2是为后续校时电路做

    8、准备的,由于74490时钟脉冲是下降沿有效,并且只有秒的个位是8和9的时候Q3才是高电平,当个位向十位进位的时候只需要把Q3接在高位的时钟输入端2CLK,当秒的个位从9变0时Q3变会从高电平向低电平跳变一次,产生一个下降沿,这就会完成向高位的进位。由于秒是60进制的,并且此芯片是同步清零,清零端CLR高电平有效,所以当秒的十位是6的时刻应该把秒清零,而Q5和Q6是高电平时为6, Q5和Q6通过一个与门后产生高电平,把Q5和Q6通过一个与门连接到高位的清零端2CLR后,就完成了秒的清零设置。设计时钟的分显示,如下图:时钟的分钟显示是通过秒每到60的时候向分钟进位产生的,所以图中的YY端接在秒电路

    9、图中的Q5和Q6通过与门后的输出端。YY旁边的非门是起直接产生下降沿的作用,因为时钟脉冲是下降沿有效,图中前边的非门也是此作用。小时的显示和分钟的相似,但当小时计到24时清零,如下图:校时电路如下图,用138译码器来实现,当A端输入是0时,对应的是小时的校对,当A端输入是1时对应的是分钟的校对。G1是设置校时的,当G1为1时,开始对电路进行校时,G2NB是对秒位的清零,电路正常计时是G1置0,G2NB置1。报时电路如下图,由于报时是在从59分51秒开始的,每隔一秒响一次,共响5次,前4次是低音,第五次是高音,低音高音是用频率来控制的高音的频率比低音的大。由于秒的十位5还有分位的59是确定的,1

    10、、3、5、7的二进制最低位都是1,因此,可以把相应的位通过一个与门输出,再与Q3(9的二进制高位是1)相与,如果Q3对应的是1,是高音,如果Q3对应的是0,是低音,这样就完成了报时电路的设计。各个模块做完之后,就应该组合电路并且调试,组合后的完整电路图如下:连接好电路后,运行电路,对应的按钮是“”,点击后会出现下图编译没有错误,点击确定,然后点击Start,编译完成后,再新建一个波形仿真图,如图,选择下边的框后单击OK,之后保存文件。在文件的空白面单击鼠标右键选出所有的输入输出,设置时钟脉冲后点击按钮,把所有的输入设置好之后点击按钮,如果没有错误的话会直接显示波形。波形图如下:在电脑上调试好之

    11、后,就可以往机箱中下载了,在菜单中选下的后再选下的设置保存之后把相应的引脚按照说明一次加入到芯片中,如下图:完事后就可以把它下载到试验箱上了,在试验箱上通过给相应的频率和按键,便完成了设计。五、 元件清单序号名称型号数量1芯片74LS49032三线八线译码器74LS13813两输入与非门NAND234非门NOT35两输入或门OR236七输入与非门NAND717两输入与门AND27六、 实习心得短短一周的实习结束了,在这一周的数字电子系统设计的实习中,我基本了解了报时式数字钟的设计原理,对Max+plusII软件的应用与基本设计方法有了基本认识,同时也学会了自己设计一些简单实用电路。总之这次实习

    12、中让我在实验技能方面的综合水平得到了一定的提升。在这周我们对MAX-Plus2软件进行学习,并进行具体实习设计。第一天里,首先是老师为我们详细的介绍了本周的实习目的与实习要求,并简单介绍了MAX-Plus2软件的应用,接下来我们开始熟悉这个软件,熟悉这些元器件的具体应用,并能够连接简单的电路。下午老师又针对本周的设计要求进行了具体说明,于是我们就开始了复杂的设计过程。起初我对于这些设计题目可以说是很茫然,任何一个对于我来说都很难,于是我开始找一些参考书,看了一些相关的内容,有了一点思路。与同组的成员商量了一下,决定既然有实习这样一个机会,想挑战一下自己,要做就要尽力并争取做到最好。在设计中可以

    13、说遇到了很多困难,但是我觉得越是遇到困难越要学会坚持,要保持冷静的头脑,把问题分成小块并一块一块的解决,同时现在并不是自己一个人在孤军奋战,在和同伴的讨论过程中我也学到了很多知识。于是我们按模块从计数模块、定时模块、控制模块、分频模块一步一步的往下做。无论到模块的分析设计还是到最后的模拟验证,我们都在有条不紊的进行中,因为我知道只有在每个部分确保没有错误的前提下,才能使最后的结果能正确通过。在设计过程中,我们也碰到了许多解决不了的问题,于是我们就请老师来指点迷津,每一次老师都很细心的为我们解答,从老师的指点中,我们也学到了一些知识,感谢老师多日来的耐心指导。在第三天的实习中,我们学习了如何将原

    14、理图通过实验箱进行实现。在实现过程中我们依然遇到了许多问题。通过一步一步的改正,我们设计的结果终于大体完成了,电路基本实现的一些功能但是还有一部分没有体现出来,在原理图中没有问题,那就应该是硬件调试的问题了,如果时间在充裕一些的话,我一定会争取把它做出来的,并且保证每个功能都能实现,那才是我最高兴的时刻。不过四天的辛苦与努力,我们还是基本完成了实习要求。第五天我们进行了实习考核,考核时的任务是完成了,但我对自己还是有些不满意,没有达到我想想中的结果。后来我深刻的反思了一下:一个人之所以成功,是因为他成功于每个细节、成功于整个过程而不是最后的结果。自己不能为了最后的结果就忽略了其中的过程。每一个

    15、过程每一个步骤都要稳扎稳打,这才能对得起自己。感谢老师一周以来,对我们的悉心教导,使我受益匪浅。以后还会遇到很多问题,希望能和老师多多沟通和探讨。现在学校实验室也在课余时间为同学开放了,我会好好珍惜和利用自己的课余时间,多做些设计实验来提高自己的专业技能。勤能补拙是良训,一分辛苦一分甜,我相信通过自己的努力,最后一定会享受到成功的喜悦的!七、参考文献【1】数字系统设计 邹彦等编著 北京:航空工业出版社 2007.2(第一版)【2】电子技术实验教程 林焕、周维芳、白庆华、曲萍萍编长春:吉林科学技术出版社 2006.12(第一版)【3】电子技术基础数字部分(第五版)康华光等 编著北京:高等教育出版社 2006.1【4】EDA技术实用教程 潘松编著科技出版社 2002【5】数字电路技术基础 阎石主编高等教育出版社 2001.1时间:2021.02.08创作人:欧阳生


    注意事项

    本文(数字电子实习设计能显示小时分秒的数字钟之欧阳生创编.docx)为本站会员主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

    copyright@ 2008-2023 冰点文库 网站版权所有

    经营许可证编号:鄂ICP备19020893号-2


    收起
    展开