欢迎来到冰点文库! | 帮助中心 分享价值,成长自我!
冰点文库
全部分类
  • 临时分类>
  • IT计算机>
  • 经管营销>
  • 医药卫生>
  • 自然科学>
  • 农林牧渔>
  • 人文社科>
  • 工程科技>
  • PPT模板>
  • 求职职场>
  • 解决方案>
  • 总结汇报>
  • ImageVerifierCode 换一换
    首页 冰点文库 > 资源分类 > DOCX文档下载
    分享到微信 分享到微博 分享到QQ空间

    EDA电子琴课程设计.docx

    • 资源ID:13773938       资源大小:121.26KB        全文页数:21页
    • 资源格式: DOCX        下载积分:5金币
    快捷下载 游客一键下载
    账号登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录
    二维码
    微信扫一扫登录
    下载资源需要5金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP,免费下载
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    EDA电子琴课程设计.docx

    1、EDA电子琴课程设计基于VHDL原理图及文本输入法的简单电子琴电路的设计1.1 课程设计目的 本课程设计主要是基于VHDL文本输入法设计乐曲演奏电路,该系统基于计算机中时钟分频器的原理,采用自顶向下的设计方法来实现,通过按键输入来控制音响或者自动演奏已存入的歌曲。系统由乐曲自动演奏模块、音调发生模块和数控分频模块三个部分组成。系统实现是用硬件描述语言VHDL按模块化方式进行设计,然后进行编程、时序仿真、电路功能验证,奏出美妙的乐曲(当然由于条件限制,暂不进行功能验证,只进行编程和时序仿真)。该设计最重要的一点就是通过按键控制不同的音调发生,每一个音调对应不同的频率,从而输出对应频率的声音。1.

    2、2 课程设计内容(1)设计一个简易的八音符电子琴,它可通过按键输入来控制音响。(2)系统演奏时可以选择是手动演奏(由键盘输入)还是自动演奏已存入的乐曲。(3)能够自动演奏多首乐曲,且乐曲可重复演奏。1.3 课程设计原理本课程设计目的在于灵活运用EDA技术编程实现一个简易电子琴的乐曲演奏,它要求在实验箱上构造一个电子琴电路,不同的音阶对应不同频率的正弦波。按下每个代表不同音阶的按键时,能够发出对应频率的声音。故系统可分为乐曲自动演奏模块(AUTO)、音调发生模块(TONE)和数控分频模块(FENPIN)三部分。系统的整体组装设计原理图如图1-1所示。 图1-1 系统的整体组装设计原理图由于设计分

    3、模块组成,每个单独的模块都是一个完整的源程序,分别实现不同性质的功能,但是每个模块又是紧密关联的,前一个模块的输出很可能是后一模块的输入。如AUTO模块的音符信号输出就是TONE模块的音符信号输入。另外,时钟脉冲信号在本课程设计中用的最多,用处也最大,一般情况下时钟信号处上升沿有效,判断和控制各个计数器计数多少。2 简易电子琴的设计过程根据系统设计要求,系统该系统基于计算机中时钟分频器的原理,设计采用自顶向下的设计方法,通过按键输入来控制音响或者自动演奏已存入的歌曲。它由乐曲自动演奏模块、音调发生模块和数控分频模块三部分组成。2.1 乐曲自动演奏模块乐曲自动演奏模块的作用是产生8位发生控制输入

    4、信号。当进行自动演奏时,由存储在此模块的8位二进制数作为发声控制输入,从而自动演奏乐曲。该模块的VHDL源程序主要由3个工作进程组成,分别为PULSE0,MUSIC和COM1。PULSE0的作用是根据键盘输入(自动演奏)的值(0或1)来判断计数器COUNT以及脉冲CLK2的输出值。部分源程序如下: PULSE0:PROCESS(CLK,AUTO) -工作进程开始 VARIABLE COUNT:INTEGER RANGE 0 TO 8; -定义计数器变量,值从0到8 IF AUTO=1THEN -键盘输入为1 COUNT:=0;CLK2INDEX0INDEX0=; -5该模块最主要的用途就是将输

    5、入二进制数转化为发声控制输入,是产生音符的重要步骤, AUTO模块的源程序符号编辑图如图3-1。图3-1 AUTO模块的符号编辑图2.1.1乐曲演奏模块文本程序:-程序名称:AUTO.VHD-程序功能:采用VHDL语言编程产生8位发声控制输入信号。-电子班 张目()-LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY AUTO IS PORT(CLK: IN STD_LOGIC; -系统时钟信号 AUTO: IN STD_LOGI

    6、C; -键盘输入/自动演奏 CLK2: BUFFER STD_LOGIC; -时钟输出 INDEX2: IN STD_LOGIC_VECTOR(7 DOWNTO 0); -键盘输入信号 INDEX0: OUT STD_LOGIC_VECTOR(7 DOWNTO 0); -音符信号输出END AUTO;ARCHITECTURE BEHAVIORAL OF AUTO IS SIGNAL COUNT0:INTEGER RANGE 0 TO 31; -定义信号计数器,有32个信号元素BEGINPULSE0:PROCESS(CLK,AUTO) -PULSE0工作进程开始 VARIABLE COUNT:I

    7、NTEGER RANGE 0 TO 8; -定义变量计数器,从0到8BEGIN IF AUTO=1THEN -键盘输入为1 COUNT:=0;CLK2=0; -计数器值为0,时钟信号2幅值为0 ELSIF(CLKEVENT AND CLK=1)THEN -输入的时钟信号为其他值 COUNT:=COUNT+1; -计数器加1即为1 IF COUNT=4 THEN CLK2=1; ELSIF COUNT=8 THEN CLK2=0;COUNT:=0;END IF;END IF;END PROCESS;MUSIC:PROCESS(CLK2) -MUSIC工作进程开始BEGIN IF(CLK2EVEN

    8、T AND CLK2=1)THEN -时钟信号2为1 IF(COUNT0=31)THEN -计数器值为31 COUNT0=0; -计数器清0ELSE COUNT0INDEX0INDEX0INDEX0INDEX0INDEX0INDEX0INDEX0INDEX0INDEX0INDEX0INDEX0INDEX0INDEX0INDEX0INDEX0INDEX0INDEX0INDEX0INDEX0INDEX0INDEX0INDEX0INDEX0INDEX0INDEX0INDEX0INDEX0INDEX0INDEX0INDEX0INDEX0INDEX0NULL;END CASE;ELSE INDEX0TO

    9、NE0=912;CODE=;HIGHTONE0=1372;CODE=;HIGHTONE0=2047;CODE=;HIGHTONE0=773;CODE=;HIGHTONE0=912;CODE=;HIGHTONE0=1036;CODE=;HIGHTONE0=1116;CODE=;HIGHTONE0=1197;CODE=;HIGHTONE0=1290;CODE=;HIGHTONE0=1372;CODE=;HIGHTONE0=1410;CODE=;HIGHTONE0=2047;CODE=;HIGH=0;END CASE;END PROCESS;END ART;2.3 数控分频模块数控分频模块是对时基脉

    10、冲进行分频,得到与0、1、2、3、4、5、6、7八个音符相对应的频率。FENPIN模块的源程序符号编辑图如图3-3。33 FENPIN模块符号编辑图该模块主要由4个工作进程组成。首先,根据系统时钟信号的输入得到时基脉冲以及计数器的值,而时钟信号在AUTO模块中便已给出,两者之间的设置关系类似于AUTO模块中第一个工作进程的设置。第二个PROCESS是此模块的核心,即由时基脉冲值转化为音符的频率。部分源程序如下:VARIABLE COUNT11:INTEGER RANGE 0 TO 2047; -定义频率计数器,从0到2047Hz IF(PRECLKEVENT AND PRECLK=1)THEN

    11、 -时基脉冲为1时 IF COUNT11TONE1 THEN -频率计数器小于音符分频系数 COUNT11:=COUNT11+1;FULLSPKS=1; -计数加1,扬声器音频为1 ELSE COUNT11:=0;FULLSPKS=0;最后一个PROCESS则是用来设置扬声器输出信号的,扬声器信号由0和1控制,当且仅当前一个PROCESS中的FULLSPKS输出为1时,扬声器才有输出,再根据计数器取值来确定输出是1还是0。部分源程序如下:IF(FULLSPKSEVENT AND FULLSPKS=1)THEN -扬声器音频信号为1COUNT2:=NOT COUNT2;IF COUNT2=1TH

    12、ENSPKS=1; -扬声器输出为1ELSE SPK=0; .2.3.1数控分频模块文本程序:-电子班 张目()-LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY FENPIN ISPORT(CLK1: IN STD_LOGIC; -系统时钟信号 TONE1: IN INTEGER RANGE 0 TO 2047; -音符分频系? SPKS: OUT STD_LOGIC); -驱动扬声器的音频信?END ENTITY FENPI

    13、N; ARCHITECTURE ART OF FENPIN IS SIGNAL PRECLK:STD_LOGIC; -定义时基脉冲信号 SIGNAL FULLSPKS:STD_LOGIC;BEGINPROCESS(CLK1)VARIABLE COUNT:INTEGER RANGE 0 TO 8 :=0; -定义变量计数器,从0到8BEGINIF(CLK1EVENT AND CLK1=1)THEN -据时钟信号为1时 COUNT:=COUNT+1; -判断计数器取值为1 IF COUNT=2 THEN PRECLK=1; ELSIF COUNT=4 THEN -若计数器计4 PRECLK=0;

    14、COUNT:=0; -时基脉冲为0,计数器清零 ELSE END IF;END IF;END PROCESS;PROCESS(PRECLK,TONE1)VARIABLE COUNT11:INTEGER RANGE 0 TO 2047; -定义变量频率计数器11,从0到2047HzBEGIN IF(PRECLKEVENT AND PRECLK=1)THEN -PRECLK脉冲上升沿触发 IF COUNT11TONE1 THEN -若计数器11值小于音符信号1 COUNT11:=COUNT11+1; FULLSPKS=1; -计数器加1,音频信号为1 ELSE COUNT11:=0; FULLSP

    15、KS=0; END IF; END IF;END PROCESS;PROCESS(FULLSPKS) -音频信号输出进程开始-VARIABLE count2:integer range 0 to 1 :=0; -定义变量计数器2,初值为0-BEGIN IF (FULLSPKSEVENT AND FULLSPKS=1) THEN if count2=1 then count2:= 0; else count2:=1; end if; IF COUNT2=1 THEN SPKS=1; ELSE SPKSCLK32MHZ,INDEX2=INDEX1,INDEX0=INDX,AUTO=HANDTOAU

    16、TO); -调用自动演奏模块U1:TONE PORTMAP(INDEX=INDX,TONE0=TONE2,CODE=CODE1,HIGH=HIGH1); -调用音调发生模块U2:FENPIN PORT MAP(CLK1=CLK32MHZ,TONE1=TONE2,SPKS=SPKOUT);END ART; -调用数控分频模块3 系统仿真系统仿真是在实际系统上进行实验研究比较困难时适用的必不可少的工具,它是指通过系统模型实验去研究一个已经存在或正在设计的系统的过程,通俗地讲,就是进行模型实验。因而,系统仿真的结果决定整个课程设计任务完成的到位程度。3.1 时序仿真编译完成后,可以对所进行的设计进行

    17、仿真,下面介绍一下仿真的步骤。(1)在file下拉菜单中选择new,再在其弹出的对话框中点选,点击OK确定,则进入了文本编辑器,在编辑区输入程序,然后保存为.vhd文件(注意保存时实体名要与文件名一致,否则编译时会出错);接下来在file下来菜单选择project,在其下拉菜单中选,建立工程文件。第三步,在菜单栏里选择Assign,在其下拉菜单中选device,最后选择,再选,确定即可;第四步,在菜单栏中点击MAX+plusII再用下拉菜单中选compiler进行编译。(2) 打开波形编辑器,在Node菜单中选择Enter Nodes from SNF项,列出所有的信号,选择所需要的信号,然后

    18、画出输入信号的波形,最后将该文件以.scf的扩展名存盘。 (3) 在MAX+plus菜单中打开仿真器窗口,单击Start按钮,当仿真器结束工作时,单击Open SCF按钮,就可以看到仿真的结果。本课程设计的仿真平台是MAX+plus,通过对VHDL源程序进行编译检错,然后创建波形文件(后缀名为.scf),加入输入输出变量,选择适用的芯片(EPF10K10LC84-3)以及设定仿真结束时间(100us),设置好输入初值进行仿真,得到仿真波形图。由于电子琴4个模块都是独立的程序,可分别对各个程序仿真,验证输入输出的正确性,但在最终能演奏出乐曲的则是顶层设计模块。3.1.1乐曲自动演奏模块的仿真如图3-1所示。图3-1 乐曲自动演奏模块的仿真图该图输入系统时钟信号CLK初值设为0,自动演奏AUTO设为1,键盘输入信号INDEX2为00,INDEX0为音符信号输出,是8位的二进制代码它根据COUNT0的值改变而改变。3.1.2音调发生模块的仿真如图


    注意事项

    本文(EDA电子琴课程设计.docx)为本站会员主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

    copyright@ 2008-2023 冰点文库 网站版权所有

    经营许可证编号:鄂ICP备19020893号-2


    收起
    展开