欢迎来到冰点文库! | 帮助中心 分享价值,成长自我!
冰点文库
全部分类
  • 临时分类>
  • IT计算机>
  • 经管营销>
  • 医药卫生>
  • 自然科学>
  • 农林牧渔>
  • 人文社科>
  • 工程科技>
  • PPT模板>
  • 求职职场>
  • 解决方案>
  • 总结汇报>
  • ImageVerifierCode 换一换
    首页 冰点文库 > 资源分类 > DOCX文档下载
    分享到微信 分享到微博 分享到QQ空间

    华北电力大学科技学院EDA课程设计汇编.docx

    • 资源ID:11340779       资源大小:178.41KB        全文页数:19页
    • 资源格式: DOCX        下载积分:3金币
    快捷下载 游客一键下载
    账号登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录
    二维码
    微信扫一扫登录
    下载资源需要3金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP,免费下载
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    华北电力大学科技学院EDA课程设计汇编.docx

    1、华北电力大学科技学院EDA课程设计汇编科 技 学 院课程设计报告( 2015 - 2016年度第 一 学期)名 称: EDA课程设计 题 目:交通灯控制系统设计院 系: 科 技 学 院 班 级: 13k2 学 号:31 学生姓名: 指导教师: 胡老师 设计周数: 2 成 绩: 日期:2015年 12月 10 日一、课程设计的目的与要求1.1实验目的掌握交通灯控制系统的基本原理,及用VHDL状态机实现该系统的方法。1.2实验内容设计一个由一条主干道和一条支干道的汇合点形成的十字交叉路口的交通灯控制系统,具体要求如下: (1) 主、支干道各设有一个绿、黄、红指示灯,两个显示数码管。 (2) 主、支

    2、道交替允许通行,主干道每次放行45 s,支干道每次放行25 s,在每次由亮绿灯变成亮红灯的转换过程中,要亮5 s的黄灯作为过渡,并进行减计时显示。 1.3实验要求1、用8段数码管分别显示两个干道的交通计时。2、能够正常实现红、黄、绿灯的切换。二、设计正文1.1设计思路主、干支道交替允许通行,主干道每次放行45秒,支干道每次放行25秒,由黄转绿的过程中要亮5秒红灯。分析可以得到,主干道绿灯亮45秒,黄灯亮5秒,红灯亮25秒。而且对应于主干道这一过程,支干道依次亮45秒红灯,25秒绿灯,5秒黄灯。1.2、分频器的设计试验箱上提供的最小信源信号频率是2赫兹,而红绿灯系统的设计是秒计时,需要1赫兹的信

    3、号,因此需要对试验箱提供的2赫兹信号进行二分频。本设计中利用T触发器对信源信号二分频。具体实现步骤为:设置信号cp,每当信源信号clk的上升沿到来一次,cp取反翻转一次,从而实现二分频。1.3、红绿灯转换的设计设置一个计时器,cp信号上升沿每到来一次,计时器加1。因为红绿黄灯完成一次转换的时间是75秒,所以设计计时器计时达到75秒时自动清零,重新计时。设从计时器开始计时,主干道的状态为绿、黄、红,相应的支干道的状态为红、绿、黄。从计时器开始计时为起点,设置时间轴,根据时间判断亮红灯还是绿灯、黄灯。判断规则是:对于主干道,当时间countnum小于45秒,绿灯亮;当时间大于等于45秒小于50秒,

    4、黄灯亮;当时间大于等于50秒,红灯亮。其中,时间等于45秒和50秒处是绿黄灯、黄红灯转换点。同理,对于支干道,当时间countnum小于45秒时,红灯亮;当时间大于等于45秒小于70秒时,绿灯亮;当时间大于等于70秒时,黄灯亮。其中,时间等于5秒和70秒处是红绿灯,绿黄等转换点。主干道和支干道用同一个计时器计时,用两个进程分别判断。1.4、交通计时的设计数码管是减计时显示灯亮剩余时间。设置信号numA,numB分别计算主干道和支干道上灯亮剩余时间。因为绿灯亮45秒,黄灯亮5秒,红灯亮25秒,所以在时间轴上,当主干道绿灯亮时,numA等于45减countnum,黄灯亮时,numA等于50减cou

    5、ntnum,红灯亮时numA等于75减countnum。当支干道上红灯亮时,numB等于45减countnum,绿灯亮时,numB等于70减countnum,黄灯亮时,numB等于75减countnum。从而实现倒计时的功能。5、数码管的设计每条道路上有两个数码管,分别显示倒计时时间的十位和个位。利用10整除倒计时时间得到十位上数字,对时间求余得到个位上数字。结合数码管的管脚信息,利用状态机将十进制数字和驱动数码管显示的七位二进制码一一对应起来。三、课程设计总结1.1 在这次课程设计中我学到很多理论之外的东西,EDA这门课程的实用性很强。这次课程设计就是一个列子,平时看到红绿灯没觉得制作是需要

    6、很多的知识,这次做了交通灯的设计之后发现需要大量的EDA书本上的知识。这次的课程设计就相当于把平时的一些小实验和知识点全部综合起来得到的结果,经历过这次的课程设计之后我明白了,如果平时不认真学号一些基础知识,到最后是根本做不出来的。同时我也在网上查找了很多资料,从之前的不会到慢慢的学会看代码,改代码和写代码。1.2设计的时候需要先理解红绿黄这三个灯的跳转,还有数码管的显示,和计算器的倒计时。在进行实验仿真的时候,我第一次做的是直接显示十进制的数字,但是在最后匹配管脚的时候匹配不了,所以我自己重新改了代码,改成二进制输出,此时仿真实验图显示的是十进制转换成二进制的数,我坚信是对的,所以我最后在实

    7、验箱里出现了正确的现象。我觉得自己应该相信自己。五、参考文献1胡正伟,谢志远,范寒柏编著.电子设计自动化 .中国电力出版社2邹彦编著.EDA技术与数字系统设计. 2007年. 北京:电子工业出版社. 3潘松,黄继业编著.EDA技术与VHDL(第二版).2007年. 北京:清华大学出版社. 4王锁萍编著.电子设计自动化教程.2000年.成都:电子科技大学出版社.附录1.红绿灯显示示意图主干道绿灯45秒黄灯5秒红灯25秒支干道红灯45秒绿灯25秒黄灯5秒2.交通灯控制系统框图3.程序library ieee; use ieee.std_logic_1164.all; entity pbd_16 i

    8、s port(clk:in std_logic; redA,greenA,yellowA:out std_logic; redB,greenB,yellowB:out std_logic; seg1,seg2,seg3,seg4:out std_logic_vector(6 downto 0); end entity pbd_16; architecture rtl of pbd_16 is signal cp:std_logic; signal countnum:integer range 0 to 75:=0; signal numA,numB:integer range 0 to 45;

    9、 signal numA1,numB1:integer range 0 to 4; signal numA2,numB2:integer range 0 to 9; begin process(clk) begin if clkevent and clk=1 then cp=not cp;end if; end process; process(cp) begin if cpevent and cp=1 then if countnum=75 then countnum=0; else countnum=countnum+1;end if; end if; end process; proce

    10、ss(cp) begin if countnum45 then redA=0; greenA=1; yellowA=0; numA=45-countnum; elsif countnum50 then redA=0; greenA=0; yellowA=1; numA=50-countnum; else redA=1; greenA=0; yellowA=0; numA=75-countnum; end if;end process; process(cp) begin if countnum45 then redB=1; greenB=0; yellowB=0; numB=45-countn

    11、um; elsif countnum70 then redB=0; greenB=1; yellowB=0;numB=70-countnum; else redB=0; greenB=0; yellowB=1; numB=75-countnum; end if; end process; process(cp) begin numB1=numB/10; numB2=numB rem 10; numA1=numA/10; numA2seg1seg1seg1seg1seg1seg2seg2seg2seg2seg2seg2seg2seg2seg2seg2seg3seg3seg3seg3seg3seg

    12、4seg4seg4seg4seg4seg4seg4seg4seg4seg40); tmp=not tmp; else count:=count+1; end if; end if; end process p0; clk=tmp; p1:process(clk,rst,setsec,setmin,sethour)-校时注释见 variable s1,s0,m1,m0,h1,h0:std_logic_vector(3 downto 0); begin if clkevent and clk=1 then if rst=1 then if setsec=1 then s0:=s0+1; if s0

    13、=1010 then s0:=0000; s1:=s1+1; if s1=0110 then s1:=0000; end if; end if; end if; if setmin=1 then m0:=m0+1; if m0=1010 then m0:=0000; m1:=m1+1; if m1=0110 then m1:=0000; end if; end if; end if; if sethour=1 then h0:=h0+1; if h0=1010 then h0:=0000; h1:=h1+1; if h0=0100and h1=0010 then h0:=0000;h1:=00

    14、00; end if; end if; end if; else s0:=s0+1;-秒,分、时的计时注释见 if s0=1010 then s0:=0000; s1:=s1+1; if s1=0110then s1:=0000; m0:=m0+1; if m0=1010 then m0:=0000; m1:=m1+1; if m1=0110 then m1:=0000; h0:=h0+1; if h0=1010 then h0:=0000; h1:=h1+1; if h0=0100and h1=0010 then h1:=0000; h0:=0000; end if; end if; end

    15、 if; end if; end if; end if; end if; sec0=s0; sec1=s1; min0=m0; min1=m1; hr0=h0; hr1=h1; end if; if clk1event and clk1=1 then -报时注释见 if m1=0101 and m0=1001 and s1=0101 then if s0=0101 or s0=0110 or s0=0111 or s0=1000 or s0=1001 then speaker=1; else speakersec0, a=a1, b=b1, c=c1, d=h1, e=e1, f=f1, g=

    16、g1); led2:led port map(data=sec1, a=a2, b=b2, c=c2, d=h2, e=e2, f=f2, g=g2);led3:led port map(data=min0, a=a3, b=b3, c=c3, d=h3, e=e3, f=f3, g=g3);led4:led port map(data=min1, a=a4, b=b4, c=c4, d=h4, e=e4, f=f4, g=g4);led5:led port map(data=hr0, a=a5, b=b5, c=c5, d=h5, e=e5, f=f5, g=g5);led6: led port map(data=hr1, a=a6, b=b6, c=c6, d=h6, e=e6, f=f6, g=g6); end architecture one;


    注意事项

    本文(华北电力大学科技学院EDA课程设计汇编.docx)为本站会员主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

    copyright@ 2008-2023 冰点文库 网站版权所有

    经营许可证编号:鄂ICP备19020893号-2


    收起
    展开