欢迎来到冰点文库! | 帮助中心 分享价值,成长自我!
冰点文库
全部分类
  • 临时分类>
  • IT计算机>
  • 经管营销>
  • 医药卫生>
  • 自然科学>
  • 农林牧渔>
  • 人文社科>
  • 工程科技>
  • PPT模板>
  • 求职职场>
  • 解决方案>
  • 总结汇报>
  • ImageVerifierCode 换一换
    首页 冰点文库 > 资源分类 > DOCX文档下载
    分享到微信 分享到微博 分享到QQ空间

    2FSK数字信号频带传输系统的设计与建模课程设计.docx

    • 资源ID:10694656       资源大小:1.10MB        全文页数:27页
    • 资源格式: DOCX        下载积分:3金币
    快捷下载 游客一键下载
    账号登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录
    二维码
    微信扫一扫登录
    下载资源需要3金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP,免费下载
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    2FSK数字信号频带传输系统的设计与建模课程设计.docx

    1、2FSK数字信号频带传输系统的设计与建模课程设计课程设计任务书学生姓名: COBE 专业班级: 电信1333班 指导教师: 工作单位: 信息工程学院 题 目: 2FSK数字信号频带传输系统的设计与建模 初始条件:(1)MAX+plus、Quartus II、ISE等软件;(2)课程设计辅导书:通信原理课程设计指导(3)先修课程:数字电子技术、模拟电子技术、电子设计EDA、通信原理要求完成的主要任务: (包括课程设计工作量及其技术要求,以及说明书撰写等具体要求)(1)课程设计时间:;(2)课程设计题目:2FSK数字信号频带传输系统的设计与建模;(3)本课程设计统一技术要求:按照要求对题目进行逻辑

    2、分析,了解2FSK数字信号的产生方法,画出FSK调制解调的方框图,编写VHDL语言程序,上机调试、仿真,记录实验结果波形,对实验结果进行分析; (4)课程设计说明书按学校“课程设计工作规范”中的“统一书写格式”撰写,并标明参考文献至少5篇;(5)写出本次课程设计的心得体会(至少500字)。时间安排:第19周参考文献: 江国强.EDA技术与应用. 北京:电子工业出版社,2010 John G. Proakis.Digital Communications. 北京:电子工业出版社,2011指导教师签名: 年 月 日系主任(或责任教师)签名: 年 月 日本科生课程设计成绩评定表姓 名性 别专业班级课

    3、程设计题目:2FSK数字信号频带传输系统的设计与建模课程设计答辩或质疑记录:成绩评定依据:最终评定成绩(以优、良、中、及格、不及格评定) 指导教师签字: 年 月 日1 设计要求分析1 1.1 题目的意义1 1.2 设计要求12 FSK设计的原理与方案2 2.1 FSK的调制2 2.1.1 直接调频法2 2. 频率键控法2 2.1.3 基于FPGA的FSK调制方案3 2.2 FSK的解调3 2. 同步(相干)解调法3 2. FSK滤波非相干解调法4 2. 基于FPGA的FSK解调方案43 FSK设计的程序与仿真5 3.1 FSK基于VHDL语言调制5 3.1.1 FSK调制程序5 3. FSK调

    4、制仿真6 3.2 FSK基于VHDL语言解调10 3.2.1 FSK调制程序10 3. FSK调制仿真114 FSK基于FPGA实物测试14 FPGA原理图及其引脚分配14 4.1.1 数码管电路介绍14 4. 按键电路介绍15 4.1.3 LED电路介绍16 4.2 FPGA程序17 4.3 FPGA结果演示195 课程设计心得206 参考文献211 设计要求分析1.1 题目的意义数字调制技术是现代通信的一个重要内容,在数字通信系统中,由于数字信号具有丰富的低频成份,不宜进行无线传输或长距离电缆传输,因而需要将基带信号进行数字调制(Digital Modulation)。数字调制同时也是数字

    5、信号频分复用的基本技术。数字调制与模拟调制都属于正弦波调制,但是,数字调制是调制信号为数字型的正弦波调制,因而数字调制具有自身的特点,一般说来数字调制技术分为两种类型:一是把数字基带信号当作模拟信号的特殊情况来处理;二是利用数字信号的离散取值去键控载波,从而实现数字调制。后一种方法通常称为键控法。例如可以对载波的振幅、频率及相位进行键控,便可获得振幅键控(ASK)、移频键控(FSK)、相移键控(PSK)等调制方式。移频键控(FSK)是数字信息传输中使用较早的一种调制形式,它由于其抗干扰及衰落性较好且技术容易实现,因而在集散式工业控制系统中被广泛采用。以往的键控移频调制解调器采用“定功能集成电路

    6、+连线”式设计;集成块多,连线复杂,容易出错,且体积较大,本设计采用Lattice公司的FPGA芯片,有效地缩小了系统的体积,降低了成本,增加了可靠性,同时系统采用VHDL语言进行设计,具有良好的可移植性及产品升级的系统性。1.2 设计要求1.了解了FSK信号的基本概念后,利用Quartus II软件中的VHDL语言对2FSK频移键控系统就行调制、解调的程序设计。2.程序设计运行成功后,在利用VHDL语言对FSK频移键控系统进行调制、解调的波形仿真。3.最后通过VHDL语言制作出FSK频移键控系统调制、解调的电路图。2 FSK设计的原理与方案2.1 FSK的调制频移键控即FSK(Frequen

    7、cyShift Keying)数字信号对载波频率调制,主要通过数字基带信号控制载波信号的频率来来传递数字信息。在二进制情况下,“1”对应于载波频率,“0”对应载波频率,但是它们的振幅和初始相位不变化。FSK信号产生的两种方法:直接调频法用二进制基带矩形脉冲信号去调制一个调频器,使其输出两个不同频率的码元。一般采用的控制方法是:当基带信号为正时(相当于“1”码),改变振荡器谐振回路的参数(电容或者电感数值),使振荡器的振荡频率提高(设为f1);当基带信号为负时(相当于“0”码),改变振荡器谐振回路的参数(电容或者电感数值),使振荡器的振荡频率降低(设为f2);从而实现了调频。这种方法产生的调频信

    8、号是相位连续的,虽然实现方法简单,但频率稳定度不高,同时频率转换速度不能做得太快,但是其优点是由调频器所产生的FSK信号在相邻码元之间的相位是连续的。频率键控法频率键控法也称频率选择法。它有两个独立的振荡器,数字基带信号控制转换开关,选择不同频率的高频振荡信号实现FSK调制。图1 频率健控法原理框图键控法产生的 FSK信号频率稳定度可以做得很高并且没有过渡频率,它的转换速度快,波形好。频率键控法在转换开关发生转换的瞬间,两个高频振荡的输出电压通常不可能相等,于是uFSK(t)信号在基带信息变换时电压会发生跳变,这种现象也称为相位不连续,这是频率键控特有的情况。2.1.3 基于FPGA的FSK调

    9、制方案图2 FSK调制方框图图3 FSK调制电路符号2.2 FSK的解调数字频率键控(FSK)信号常用的解调方法有很多种如:2.2.1 同步(相干)解调法在同步解调器中,有上、下两个支路,输入的FSK信号经过和两个带通滤波器后变成了上、下两路ASK信号,之后其解调原理与ASK类似,但判决需对上、下两支路比较来进行。假设上支路低通滤波器输出为,下支路低通滤波器输出为,则判决准则是:图4 相干解调法原理框图接收信号经过并联的两路带通滤波器进行滤波与本地相干载波相乘和包络检波后,进行抽样判决,判决的准则是比较两路信号包络的大小。假设上支路低通滤波器输出为,下支路低通滤波器输出为,则判决准则是:如果上

    10、支的信号包络较大,则判决为“1”;反之,判决为收到为“0”。 2.2.2 FSK滤波非相干解调法输入的FSK中频信号分别经过中心频为、的带通滤波器,然后分别经过包络检波,包络检波的输出在t=kTb时抽样(其中k为整数),并且将这些值进行比较。根据包络检波器输出的大小,比较器判决数据比特是1还是0。图5 非相干解调法原理框图2.2.3 基于FPGA的FSK解调方案图6 FSK解调方框图图7 FSK解调电路符号3 FSK设计的程序与仿真3.1 FSK基于VHDL语言调制.1 FSK调制程序文件名: FSKTZ-功能:基于VHDL硬件描述语言,对基带信号进行FSK调制LIBRARY IEEE;USE

    11、 IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY FSKTZ IS PORT(CLK:IN STD_LOGIC; -系统时钟 START:IN STD_LOGIC; -开始调制信号 X:IN STD_LOGIC; -基带信号 Y:OUT STD_LOGIC); -调制信号END FSKTZ;ARCHITECTURE BEHAV OF FSKTZ ISSIGNAL Q1:INTEGER RANGE 0 TO 11; -载波信号F1的分频计数器SIGNAL Q2:

    12、INTEGER RANGE 0 TO 3; -载波信号F2的分频计数器SIGNAL F1,F2:STD_LOGIC; -载波信号F1,F2 BEGIN PROCESS(CLK) -产生载波F1 BEGIN IF (CLKEVENT AND CLK=1) THEN IF START=0 THEN Q1=0; ELSIF Q1=5 THEN F1=1;Q1=Q1+1; -改变Q1可以改变载波F1 -的占空比 ELSIF Q1=11 THEN F1=0;Q1=0; ELSE F1=0;Q1=Q1+1; END IF; END IF; END PROCESS; PROCESS(CLK) -产生载波F2

    13、 BEGIN IF (CLKEVENT AND CLK=1) THEN IF START=0 THEN Q2=0; ELSIF Q2=1 THEN F2=0;Q2=0; ELSIF Q2=0 THEN F2=1;Q2=Q2+1; ELSE F2=0;Q2=Q2+1; END IF; END IF; END PROCESS; PROCESS(CLK,X) -此进程完成对基带信号的FSK调制 BEGIN IF (CLKEVENT AND CLK=1) THEN IF X=0 THEN Y=F1; -X=0时,输出F1 ELSE Y=F2; -X=1时,输出F2 END IF; END IF; EN

    14、D PROCESS;END BEHAV;.2 FSK调制仿真工程编译通过后,必须对其功能和时序性能进行仿真测试,以验证设计结果是否满足设计要求。整个时序仿真测试流程一般有建立波形文件、输入信号节点、设置波形参数、编辑输入信号、波形文件存盘、运行仿真器和分析方针波形等步骤。假设需要调制的二进制序列为1 1 0 1 0 0 1 0 1 1 0 0 1,且码元宽度为480ns。一、FSK调制波形仿真建立仿真测试波形文件。选择Quartus II主窗口的File菜单的New选项,在弹出的文件类型编辑对话框中,选择Other Files中的Vector Weaveform File项,单击OK按钮,即出

    15、现如图8所示的波形文件编辑窗口。图8 波形文件编辑窗口设置仿真时间区域。对于时序仿真测试来说,将仿真时间设置在一个合理的时间区域内是十分必要的,通常设置的时间区域将视具体的设计项目而定。设计中整个仿真时间区域设为6us、时间轴周期为40ns,其设置步骤是在Edit菜单中选择End Time,在弹出的窗口中Time处填入6,单位选择us,同理在Gride Size中Time period输入40ns,单击OK按钮,设置结束。输入工程信号节点选择View菜单中的Utility Windows项的Node Finder,即可弹出如图所示的对话框,在此对话框Filter项中选择Pins:all&Reg

    16、isters:Post-fitting,然后单击List按钮,于是在下方的Nodes Found窗口中出现设计中的PL_FSK工程的所有端口的引脚名。用鼠标将时钟信号节点clk、start、x、q1、f1、q2、f2和y分别拖到波形编辑窗口,如图9所示,此后关闭Nodes Found窗口即可。图9 FSK调制波形编辑器输入信号窗口设计信号波形。单击图9左侧的全屏显示按钮,使之全屏显示,并单击放大缩小按钮,再用鼠标在波形编辑窗口单击(右击为放大,左击为缩小),使仿真坐标处于适当位置。单击图9窗口的时钟信号clk使之变成蓝色条,再单击右键,选择Value设置中的Count Value项,设置clk

    17、为连续变化的二进制值,初始值为“0”。单击start使之变成蓝色,再单击右键,选择Value设置中的Forcing High项,使start变成高电平信号。单击x使之变成蓝色,再单击右左侧Waveform Editing按钮,把x变成高低电平连续变化信号。文件存盘选择File中的Save as项,将波形文件以默认名FSKTZ.vwf存盘即可。 所有设置完成后,即可启动仿真器ProcessingStart Simulation直到出现Simulation was successful,仿真结束。仿真波形输出文件FSKTZ Simulation Report将自动弹出如图10所示。注意,Quart

    18、us II的仿真波形文件中,波形编辑文件(*.vwf)与波形仿真报告输出文件(Simulation Report)是分开的,而Maxplus II的激励波形编辑文件与波形仿真报告输出文件是合二为一的。图10 FSK调制VHDL程序仿真全图图11 FSK调制VHDL程序仿真局部放大图二、FSK调制电路FSK调制电路如图12所示:图12 FSK调制电路图Quartus II可实现硬件描述语言或网表文件(VHDL、Verilog、BDF、TDF、EDIF、VQM)对应的RTL电路图的生成。其方法为:选择ToolsRTL Viewer,可以打开FSKTZ工程个层次的RTL电路图,双击图形中有关模块,或

    19、选择左侧各项,可了解个层次的电路结构。3.2 FSK基于VHDL语言解调3.2.1 FSK解调程序文件名:FSKJT-功能:基于VHDL硬件描述语言,对基带信号进行FSK解调LIBRARY IEEE;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY FSKJT IS PORT(CLK:IN STD_LOGIC; -系统时钟 START:IN STD_LOGIC; -同步信号 X:IN STD_LOGIC; -调制信号 Y:OUT STD_LOGIC);

    20、-基带信号END FSKJT;ARCHITECTURE BEHAV OF FSKJT ISSIGNAL Q:INTEGER RANGE 0 TO 11; -分频计数器SIGNAL XX:STD_LOGIC; -寄存器SIGNAL M:INTEGER RANGE 0 TO 5; -计数器 BEGIN PROCESS(CLK) BEGIN IF (CLKEVENT AND CLK=1) THEN XX=X; -在CLK信号上升沿时 -X信号对中间信号XX赋值 IF START=0 THEN Q=0; -IF语句完成对Q的循环计数 ELSIF Q=11 THEN Q=0; ELSE Q=Q+1; E

    21、ND IF; END IF; END PROCESS; PROCESS(XX,Q) -此进程完成对FSK解调 BEGIN IF Q=11 THEN M=0; -M计数器清零 ELSIF Q=10 THEN IF M=3 THEN Y=0; -IF语句通过对M大小,来判决Y输出的电平 ELSE Y=1; END IF; ELSIF XXEVENT AND XX=1 THEN M=M+1; -计XX信号的脉冲个数 END IF; END PROCESS;END BEHAV;.2 FSK解调仿真工程编译通过后,必须对其功能和时序性能进行仿真测试,以验证设计结果是否满足设计要求。整个时序仿真测试流程一

    22、般有建立波形文件、输入信号节点、设置波形参数、编辑输入信号、波形文件存盘、运行仿真器和分析方针波形等步骤。以FSK调制的输出作为FSK解调的输入。一、FSK解调波形仿真建立仿真测试波形文件。选择Quartus II主窗口的File菜单的New选项,在弹出的文件类型编辑对话框中,选择Other Files中的Vector Weaveform File项,单击OK按钮,即出现波形文件编辑窗口。设置仿真时间区域。对于时序仿真测试来说,将仿真时间设置在一个合理的时间区域内是十分必要的,通常设置的时间区域将视具体的设计项目而定。设计中整个仿真时间区域设为6us、时间轴周期为40ns,其设置步骤是在Edi

    23、t菜单中选择End Time,在弹出的窗口中Time处填入6,单位选择us,同理在Gride Size中Time period输入40ns,单击OK按钮,设置结束。 输入工程信号节点选择View菜单中的Utility Windows项的Node Finder,即可弹出如图所示的对话框,在此对话框Filter项中选择Pins:all&Registers:Post-fitting,然后单击List按钮,于是在下方的Nodes Found窗口中出现设计中的PL_FSK2工程的所有端口的引脚名。用鼠标将时钟信号节点clk、start、x、y、q、m和xx分别拖到波形编辑窗口,如图13所示,此后关闭No

    24、des Found窗口即可。图13 FSK解调波形编辑器输入信号窗口设计信号波形。单击图13左侧的全屏显示按钮,使之全屏显示,并单击放大缩小按钮,再用鼠标在波形编辑窗口单击(右击为放大,左击为缩小),使仿真坐标处于适当位置。单击图13窗口的时钟信号clk使之变成蓝色条,再单击右键,选择Value设置中的Count Value项,设置clk为连续变化的二进制值,初始值为“0”。单击start使之变成蓝色,再单击右键,选择Value设置中的Forcing High项,使start变成高电平信号。单击x使之变成蓝色,再单击右左侧Waveform Editing按钮,把x变成高低电平连续变化信号。 文

    25、件存盘选择File中的Save as项,将波形文件以默认名FSKJT.vwf存盘即可。所有设置完成后,即可启动仿真器ProcessingStart Simulation直到出现Simulation was successful,仿真结束。仿真波形输出文件FSKJT Simulation Report将自动弹出如图14所示。注意,Quartus II的仿真波形文件中,波形编辑文件(*.vwf)与波形仿真报告输出文件(Simulation Report)是分开的,而Maxplus II的激励波形编辑文件与波形仿真报告输出文件是合二为一的。图14 FSK解调VHDL程序仿真全图图15 FSK解调VH

    26、DL程序仿真局部放大图二、FSK调制电路FSK调制电路如图16所示:图16 FSK解调电路图Quartus II可实现硬件描述语言或网表文件(VHDL、Verilog、BDF、TDF、EDIF、VQM)对应的RTL电路图的生成。其方法为:选择ToolsRTL Viewer,可以打开PL_FSK2工程个层次的RTL电路图,双击图形中有关模块,或选择左侧各项,可了解个层次的电路结构,如图16所示。4 FSK基于FPGA实物测试此款开发板使用的是ALERA公司的CYCLONE IV系列FPGA,型号为EP4CEF17C8,256引脚的FBGA封装。4.1 FPGA原理图及其引脚分配4.1.1 数码管

    27、电路介绍使用的是共阳极数码管,当某一字段对应的引脚为低电平时,相应字段就点亮,当某一字段对应的引脚为高电平时,相应字段就不亮。其原理图如图17所示,引脚分配如图18所示。图17 数码管原理图图18 数码管引脚分配图按键为低电平有效,其原理图如图 19所示,引脚分配图如图20所示。图19 按键原理图图20 按键引脚分配图4.1.3 LED电路介绍开发板板载了 4 个 LED 发光二极管,引脚高电平时 LED 发光,低电平时LED 不发光,其理图如图 21所示,引脚分配图22所示。图21 LED原理图图22 LED引脚分配图 FPGA程序 图23为此开发板中所用到的资源及其引脚对应关系。图23引脚

    28、对应程序如下: FPGA结果演示图24图25程式分析:调制:0码跟1码采用不同频率的信号发送至LED0端口,且0码的频率是1码的6倍,当按下KEY1时,发送0码,当按下KEY2时,发送1码。为了便于识别,将1码对应的信号占空比改成了10%,0码对应的信号占空比改成了50%,因此发送1码时的LED灯亮度高于0码。解调:由于0码的频率高于1码元,在12个时钟周期内,显然0码产生的上升沿是1码元的6倍,因此,在程序中,设置一个整型信号变量M,来读取12个周期内的上升沿,当上升沿小于3个时肯定是1码,反之则为0码,从而实现了信号的解调,并将解调信号在数码管上显示出来。5 课 程 设 计 心 得通过这次课程设计,加强了我们动手、思考和解决问题的能力。我觉得做课程设计同时也是对课本知识的巩固和加强,由于课本上的知识太多,平时课间的学习并不能很好的理解和运用所学知识,而且考试内容有限,所以在这次课程设计过程中,我们有了实践的机会。平时看课本时,有时问题老是弄不懂,做完课程设计,那些问题就迎刃而解了。而且还可以


    注意事项

    本文(2FSK数字信号频带传输系统的设计与建模课程设计.docx)为本站会员主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

    copyright@ 2008-2023 冰点文库 网站版权所有

    经营许可证编号:鄂ICP备19020893号-2


    收起
    展开