欢迎来到冰点文库! | 帮助中心 分享价值,成长自我!
冰点文库
全部分类
  • 临时分类>
  • IT计算机>
  • 经管营销>
  • 医药卫生>
  • 自然科学>
  • 农林牧渔>
  • 人文社科>
  • 工程科技>
  • PPT模板>
  • 求职职场>
  • 解决方案>
  • 总结汇报>
  • ImageVerifierCode 换一换
    首页 冰点文库 > 资源分类 > DOCX文档下载
    分享到微信 分享到微博 分享到QQ空间

    多功能电子时钟课程设计.docx

    • 资源ID:10541444       资源大小:445.61KB        全文页数:27页
    • 资源格式: DOCX        下载积分:1金币
    快捷下载 游客一键下载
    账号登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录
    二维码
    微信扫一扫登录
    下载资源需要1金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP,免费下载
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    多功能电子时钟课程设计.docx

    1、多功能电子时钟课程设计数字电子技术课程设计报告题 目: 数字钟的设计与制作 学 年:1011 学 期: 第一学期专 业:电子商务 班 级: 01学 号: 姓 名: XXX 时 间: 2011年X月XX日2011年X月XX日广东省高州市中英理工学校前 言随着电子技术的不断发展,数字电子技术在设计中所体现在出来的优势越来越明显,它不仅是电子信息类专业的一个重要部分,而且在其它类专业工程中也是不可缺少的。报警电路、时序控制电路作为子系统的应用,发展更是迅速,已成为新一代一些电子设备不可缺少的核心部件,其现实生活中的运用也是非常普遍和广泛。集成数字电子计时器的设计过程中,组成其电路的核心部分是几个电路

    2、的设计以其几种芯片功能应用,其中主要包括:控制电路,校时电路,译码电路,优先编码电路,显示电路等。电子技术的发展促使这些电路被广泛的应用到一系列电子设备当中,时序控制电路也成为数字电子电路设计和制作过程中不可缺少的部分,并且设计简单,易于操作,可靠性好的优点。 对数字电子计时器设计的目的是为了更好的掌握几种芯片的工作原理,学会其电路的设计与主要性能参数测量方法以及掌握电子线路系统的装试和调试技术。本次设计分为四个主要步骤:一 :构思和设计分频电路,校时电路和译码电路。二:根据设计要求和选择的电路通过计算选择元器件和参数,并准确无误的设计好要设计的电路原理图。三:在万能板或在面包板上根据设计电路

    3、原理进行元器件的电路安装和精细的调试。四:在安装好的电路板上进行闹钟功能的测试。在此次课程设计的编写过程中参考了多种电子设计资料如电子线路设计实验测试(第二版),数子电子技术基础等。在编写此次课程设计的过程中由于本人的水平有限,在设计的过程中难免出现缺点和不足之处,还请老师批评和指正。 第一章:系统电路设计1.1 系统总设计思路数字电子计时器原理框图如图(1.1)所示,电路一般包括以下几个部分:振荡器、分频器、校时电路、时分秒计数器、译码显示电路。图1.1 数字电子计时器原理框图对于各部分(1)振荡器用来产生相应频率的脉冲信号。 (2)分频器用来对振荡器产生的信号进行分频,从而得到电子计数器需

    4、要的1Hz秒脉冲。(3)为使数字钟走时与标准时间一致,校时电路是必不可少的。设计中采用开关控制校时直接用秒脉冲先后对“时”“分”计数器进行校时操作。(4)计数电路,通过计数输出产生相应的二进制码元,再输入到译码器。(5)译码电路和显示器为一个整体。通过译码器译码输入到数码管,最终显示出来。1.2 设计方案选择1.2.1 振荡部分方案一 晶体震振荡器电路采用石英晶体振荡器。使用振荡频率为32768Hz的石英晶体和反向器构成一个稳定性好、精度高的时间信号源。改变电容C可以对振荡器的频率进行微调,再通过一个反相器,输出32768Hz的方波,将此方波的频率进行15次二分频后,在输出端刚好可得到频率为1

    5、Hz的脉冲信号。方案二 555振荡器电路振荡器是计时器的核心,其作用是产生一个标准频率的脉冲信号。振荡频率的精度和稳定度决定了数字钟的质量。采用集成电路555定时器与RC组成的多谐振荡器。(比较)秒信号发生器是数字电子钟的核心部分,它的精度和稳度决定了数字钟的质量,但我们做实验考虑到用石音晶体振荡电路时分频电路用的元件较多 且价格较贵,用555构成的电路元件容易得,电路简单且易于实现,故选方案二 。1.2.2 分频部分方案一 CD4060构成的分频电路通常实现分频器的电路是计数器电路,一般采用多级2进制计数器来实现。CD4060在数字集成电路中可实现的分频次数最高,为14级2进制计数器而且CD

    6、4060还包含振荡电路所需的非门。方案二 74LS90构成的分频电路74LS90是异步二五十进制加法计数器,它既可以作二进制加法计数器,又可以作五进制和十进制加法计数器。将CP2和Q0相连,计数脉冲由CP1输入,Q3、Q2、Q1、Q0作为输出端,则构成异步8421码十进制加法计数器。从而完成十分频。(比较)由于CD4060为2进制计数,要求精度比较高,在实际学习中对74LS90的了解比CD4060的了解要深,所以采用74LS90来做分频电路,所以选方案二。1.2.3 校时部分方案一 慢校时将低压电源通过一个开关连接到校时电路,通过开关的接通与断开产生高低电平,再通过部分逻辑门电路,从而得到所需

    7、要的脉冲信号完成校时。方案二 快校时将校时1Hz脉冲信号与开关控制的信号取反再输到一个与非门,然后与另一个进位脉冲信号同时输入到一个与非门,最后输如到进位脉冲,只要开关接通,1Hz脉冲信号将连续输入到校时电路,完成快校时。(比较)快校时电路由于脉冲源产生的1Hz脉冲信号比较稳定,实现方案相对简单,并且灵活易操作,选方案二。1.2.4译码驱动显示部分方案一 译码器74LS48与共阴数码管电路 共阴数码管的译码器应选用74LS48,译码后输出为高电平,数码管的公共端接地,从而在数码管上将显示出相应的数字。方案二 共阳数码管电路 共阳数码管的译码器应选用74LS47,译码后输出为低电平, 数码管公共

    8、端接正电源,将在数码管上显示出相应数字。(比较)由于译码器74LS47在市面比较容易买到及多方面的原因,所以选用74LS47,数码管用共阳数码管。综合上述方案的选择与比较,都选择方案二。主要是由于电器元件的熟悉程度以及市场的供求关系。在方案二中,大部分的电器元件我们较熟悉并且更容易获得。第二章 单元电路设计2.1振荡器电路2.1.1 用555作振荡器采用集成电路555定时器与RC组成的多谐振荡器。输出的脉冲频率为1KHz,周期T1ms。取电阻为千欧级,电容0.01uF到0.1uF。若参数选择:R1=R2=10k欧姆,C1=47uF时,可以得到秒脉冲信号。虽然直接得到了秒脉冲,但从计时精度的角度

    9、考虑,振荡器的振荡频率越高,钟表计时的精度就越高,所以一般不直接输出秒脉冲信号。2.1.2 芯片管脚图及功能表介绍(1)芯片管脚如图(2.1)图2.1 555定时器管脚图(2)芯片功能表输 出输 出阀值输入(v11)触发输入(v12)复位(RD)输出(VO)发电管T00导通2/3VCC2/3VCC1/3VCC10导通1/3VCC1不变不变表2.1 555定时器功能表2.1.3振荡器单元电路图图2.2 555定时器构成的振荡器电路工作原理接通电源VCC后,Vcc经电阻R1和R2对电容C冲电,其电压uc按由0按指数规律上升。随着冲电达到饱和,电容C开始放电uc随之下降。由于电容C上的电压uc将在2

    10、/3Vcc和1/3Vcc之间来回冲电和放电,从而使电路产生了振荡,输出矩形脉冲。2.2分频器电路2.2.1 用74LS90作分频器通常实现分频器的电路是计数器电路,一般采用多级10进制计数器来实现。分频器的功能有两个:一是产生标准秒脉冲信号;二是提供功能扩展电路所需的信号。选用中规模集成电路74LS90可以完成以上功能。将3片74LS90级联,每片为1/10分频,三片级联正好获得1Hz的标准秒脉冲。2.2.2 芯片74LS90的管脚图及功能表介绍(1)芯片管脚如图(2.3)图2.3 74LS90管脚图(2)芯片功能介绍74LS90是异步二五十进制加法计数器,它既可以作二进制加法计数器,又可以作

    11、五进制和十进制加法计数器。通过不同的连接方式,74LS90可以实现四种不同的逻辑功能;而且还可借助R0(1)、R0(2)对计数器清零,借助S9(1)、S9(2)将计数器置9。其具体功能详述如下:1)计数脉冲从CP1输入,Q0作为输出端,为二进制计数器。2)计数脉冲从CP2输入,Q3Q2Q1作为输出端,为异步五进制加法计数器。3)若将CP2和Q0相连,计数脉冲由CP1输入,Q3、Q2、Q1、Q0作为输出端,则构成异步8421码十进制加法计数器。4)若将CP1与Q3相连,计数脉冲由CP2输入,Q0、Q3、Q2、Q1作为输出端,则构成异步5421码十进制加法计数器。5)清零、置9功能。a)异步清零当

    12、R0(1)、R0(2)均为“1”;S9(1)、S9(2)中有“0”时,实现异步清零功能,即QDQCQBQA0000。置9功能当S9(1)、S9(2)均为“1”;R0(1)、R0(2)中有“0”时,实现置9功能,即QDQCQBQA1001。(3)芯片功能表输 入输 出功 能清 0置 9时 钟QD QC QB QAR0(1)、R0(2)S9(1)、S9(2)CP1 CP21100 0000清 00011 1001置 90 01 0 1QA 输 出二进制计数1 QDQCQB输出五进制计数 QAQDQCQBQA输出8421BCD码十进制计数QD QAQDQCQB输出5421BCD码十进制计数1 1不

    13、变保 持表2.2 74LS90功能表2.2.3分频单元电路图图2.4 三片74LS90构成的分频电路图工作原理由振荡器产生的1kHz信号通过U1,U2,U3三次十分频后得到1Hz的秒脉冲。即由U1的CP1输入十个脉冲后,从U1的Q3输出一个脉冲,同样U2,U3也是,最后1kHz的信号就转变成了我们所需要的1Hz的秒信号。2.3校时电路2.3.1 校时电路的设计 当数字计时器接通电源或者计时出现误差时,需要校正时间(或称校时)。校时是数字计时器应具备的基本功能。为使电路简单。这里只进行分和小时的校对。对校时电路的要求是,在小时校正时不影响分和时不影响秒和小时的正常计数。校时方式有“快校时”和“慢

    14、校时”两种,“快校时”是通过开关控制,使计数器对1Hz的校时脉冲计数。“慢校时”是用手动产生单脉冲做校时脉冲,(图2.5)为校“时”,校“分”电路。其中S1为校“分”用的控制开关,S2为校“时”用的控制开关。校时脉冲采用分频器输出的1Hz脉冲,当S1或S2分别为“0”时可进行“快校时”。秒的正常计数;在分校正本设计采用“快校时”。 需要注意的是,校时电路是由与非门构成的组合逻辑电路,可用芯片74LS00和74LS04实现。开关S1或S2为“0”或“1”时,可能会产生抖动,接电容C1,C2可以缓解抖动。2.3.2 芯片功能及管脚介绍(1)74LS00管脚如图(2.5)图2.5 74LS00管脚图

    15、(2)芯片功能介绍74LS00为四二输入与非门,即。当A=1,B=1时Y=0;A=1,B=0时Y=1;A=0,B=1时Y=1;A=0,B=0时Y=1。(3)芯片逻辑功能表输 入输出ABY110101011001表2.3 74LS00功能表(4)74LS04管脚如图(2.6)图2.6 74LS04管脚图(5)芯片功能介绍 74LS04为六反相器,即Y=。当A=0时,Y=1;当A=1时,Y=0。(6)芯片逻辑功能表输 入输 出AY0110表2.4 74LS04功能表2.3.3 校时单元电路图图2.7 由74LS00和74LS04构成的校时电路工作原理 当“S1”“S2”由接通到断开或由断开到接通时

    16、可能会产生抖动,接电容“C1”“C2”后可缓解抖动。取C1=C2=0.01uF,分压电阻R等于3.3千欧。开关S闭合后,电路开始校时。非门1的输出也为高电平;所以非门b的输出只与校时脉冲有关。又由于与非门a的输出也为高电平,所以非门c的输出只与非门b的输出有关。因此与非门c的输出与校时脉冲相同。开关S断开后,电路开始正常计时。非门1的输出为低电平,所以与非门b的输出为高电平,因此与非门c的输出完全由与非门a的输出决定;又与非门 a的输入只与进位脉冲有关,所以电路进行正常计时。2.4计数器电路2.4.1 秒、分、时计数器设计秒脉冲信号经过6级计数器,分别得到“秒”个位,十位、“分”个位、十位、“

    17、时”个位,十位的计时,小时为24进制,秒分计数器为60进制。(1)24进制计数电路:小时计数电路是由两片74LS90组成的24进制计数电路,采用两片中规模集成电路74LS90串联接起来构成。当“时”个位U8计数输入端CKA来到第10个触发信号时,U8计数器复零,进位端Q3向U9“时”十位计数器输出进位信号,当第24个“时”脉冲到达时,U8计数器的状态为0100,U9计数器的状态为0010,此时“时”个位计数器的Q2和“时”十位计数器的Q1输出为1。把它们通过一个与非门送到U8和U9计数器的清零端R01和R02通过74LS90内部的R01和R02清零,计数器复位,完成24进制计数。如图(2.8)

    18、(2)60进制计数电路:秒计数器电路与分计数器电路都是60进制,它由一级10进制计数器和一级6进制计数器连接构成,采用两片中规模集成电路74LS90和74LS92串联接起来构成的秒、分计数器。74LS90计数器是十进制异步计数器,用反馈归零方法实现十进制计数。Q3作为十进制的进位信号。74LS92计数器是十二(二六)进制异步计数器,用反馈归零方法实现六进制计数,Q2作为六进制的进位信号。74LS90和74LS92都是在一秒时钟或进位信号的下降沿翻转计数,所以当74LS90计到9且74LS92计到5的时候再输入一个脉冲计数器全部归零。由次可见串联实现了六十进制计数。如图(2.9)2.4.2 芯片

    19、74LS92的管脚图及功能表介绍。(1)芯片管脚如图(2.8)图2.8 74LS92的管脚图(2)芯片功能介绍74LS92是异步二六十二进制加法计数器,它既可以作二进制加法计数器,又可以作六进制和十二进制加法计数器。通过不同的连接方式,74LS92可以实现四种不同的逻辑功能;而且还可借助R0(1)、R0(2)对计数器清零。其具体功能详述如下:(a)计数脉冲从CP1输入,Q0作为输出端,为二进制计数器。(b)计数脉冲从CP2输入,Q3Q2Q1作为输出端,为异步六进制加法计数器。(c)若将CP2和Q0相连,计数脉冲由CP1输入,Q3、Q2、Q1、Q0作为输出端,则构成异步8421码十二进制加法计数

    20、器。(d)清零功能。异步清零当R0(1)、R0(2)均为“1”;时,实现异步清零功能,即Q3Q2Q1Q00000。(3) 芯片功能表输 入输 出功 能清 0时 钟Q3 Q2 Q1 Q0R0(1)、R0(2)CP1 CP211 0000清 000 1100置 120 0 1Q0 输 出二进制计数1 Q3Q2Q1输出六进制计数 QAQ3Q2Q1Q0输出8421BCD码十二进制计数 1 1不 变保 持表2.5 74LS92功能表2.4.3 芯片74LS08管脚图及功能介绍(1)芯片管脚如图(2.9)图2.9 74LS08管脚图(2)芯片功能介绍芯片74LS08为四二输入与门,即Y=AB。当A=1,B

    21、=1时Y=1;当A=1,B=0时Y=0;当A=0,B=1时Y=0;当A=0,B=0时Y=0。(3) 芯片功能表输 入输 出ABY111100010000表2.6 74LS08功能表2.4.4 计数单元电路图(1)24进制计数图2.10 两片74LS90构成的24进制电路工作原理U8和U9都接成十进制计数器,当U9计到0010同时U8计到0100时,即U9的Q1和U8的Q2同为1再经一个与非门后连接到异步置零端清零,从而完成24进制计数。(2)60进制计数图2.11 由74LS90和74LS92组成的60进制电路工作原理由于分和秒都是60进制,所以芯片90用U4.6表示,芯片92用U5.7表示。

    22、接为十进制计数器芯片90与接为六进制的芯片92相串联就构成了我们所需要的六十进制计数器。芯片90用Q3向前进位,芯片92用Q2向前一计时电路进位。2.5译码驱动显示电路 2.5.1 译码驱动显示电路的设计 六个74LS47集成电路构成数字电子计时器的七段数码显示管显示译码/驱动器。74LS47七段显示译码器输出低电平有效,将计数器 输出的8421BCD码译成七段(a、b、c、d、e、f、g)输出,用以直接驱动LED七段数码显示对应的十进制数。六个LED七段数码显示管利用不同发光段组合的方式显示不同数码,都采用+5V电源作为每段发光二极管的驱动电源。需要发光的段为高电平,不发光的段为低电平。设计

    23、中采用共阳极数码管,每段发光二极管的正向降压,随显示光的颜色有所不同,通常约3V5V,点亮电流在510mA。六个LED七段数码显示管分别显示秒个位、十位;分个位、十位;时个位、十位的计数十进制数。2.5.2 芯片74LS47和数码管的管脚图及功能表介绍 (1)芯片管脚如图(2.12)图2.12 74LS47管脚图(2)芯片功能介绍 芯片通过A,B,C,D输入四位不同的8421BCD码,将其翻译成16种不同的符号输出到显示器。(3)芯片功能表 十进制功能输入BI 输出D C B Aa bc de f g012310 0 0100 10 0 1 00 0 1 1HHH H0 0 0 0 0 0 1

    24、1 0 0 1 1 1 10 0 1 0 0 1 00 0 0 0 1 1 045670 1 0 00 1 0 10 1 1 00 1 1 1HHHH1 0 0 1 1 0 00 1 0 0 1 0 01 1 0 0 0 0 00 0 0 1 1 1 18910111 0 0 01 0 0 11 0 1 01 0 1 1HHHH0 0 0 0 0 0 00 0 0 1 1 0 01 1 1 0 0 1 01 1 0 0 1 1 0121314151 1 0 01 1 0 11 1 1 01 1 1 1HHHH1 0 1 1 1 0 00 1 1 0 1 0 01 1 1 0 0 0 01 1

    25、1 1 1 1 1表2.7 74LS47译码输出功能表(4)数码管结构如图(2.13) 图2.13 数码管字型结构图2.5.3译码驱动显示单元电路图图2.14 74LS47与数码管连接图 工作原理 译码器74LS47通过四个输入端A,B,C,D输入015个不同的二进制码元,将其翻译成不同的高低电平组合,从而在数码管上显示出相对应的16个不同的数字符号。本设计最多只需00001001九个不同的二进制码元,所以数码管只显示09九个数字。第三章 仿真及测试3.1仿真平台3.1.1 Proteus简介Proteus是目前最好的模拟单片机外围器件的工具。可以仿真51系列、AVR,PIC等常用的MCU及其

    26、外围电路(如LCD,RAM,ROM,键盘,马达,LED,AD/DA,部分SPI器件,部分IIC器件,.)其实proteus与multisim比较类似,只不过它可以仿真MCU!当然,软件仿真精度有限,而且不可能所有的器件都找得到相应的仿真模型,用开发板和仿真器当然是最好选择,可是估计初学者有的可能性比较小吧?如果你在51单片机,如果自己动手做LCD,LED,AD/DA,直流马达,SPI,IIC,键盘,.的小实验的话,是可以做的很成功的!用51不管是用汇编或是C编程当然要用keil,uvisoin3有不少新特性呢!使用keilc51v7.50+proteus6.7可以像使用仿真器一样调试程序,一般

    27、而言,微机实验中用万利仿真器+电工系自己做的实验板的实验都可以做得到!当然,硬件实践还是必不可少的! Proteus与其它单片机仿真软件不同的是,它不仅能仿真单片机CPU的工作情况,也能仿真单片机外围电路或没有单片机参与的其它电路的工作情况。因此在仿真和程序调试时,关心的不再是某些语句执行时单片机寄存器和存储器内容的改变,而是从工程的角度直接看程序运行和电路工作的过程和结果。对于这样的仿真实验,从某种意义上讲,是弥补了实验和工程应用间脱节的矛盾和现象。 3.1.2 仿真测试1.启用Proteus,对于我们所需要测试的参数主要是分频电路的三块74LS90的输出脉冲频率及脉冲稳定性。2.从元器件库

    28、中调出各种系列的芯片、电容、电感、电阻以及示波器等我们所需要的各种元器件,元器件调出后,认真连接各元件,对元器件的位置进行调整以求电路原理图美观简易,并保存图,使布局比较合理。数字电子计时器的仿真原理图及仿真结果如(图3.13.4)所示。(见21,22页)注:仿真时一次分频,二次分频,三次分频对应的时间档位分别为0.002S,0.02S,0.2S。又每个脉冲占5格,所以对应的频率分别为100Hz,10Hz,1Hz。 图3.1 一次分频的输出脉冲波形图3.2 二次分频的输出脉冲波形图3.3 三次分频的输出脉冲波形图3.4 电路仿真总原理图设计总结 对电路的构造以及使用功能更加了解,也对一些专业的相关软件有了初步的认知,以至于对PCB板的识别也不再陌生,这些是在课本中无法学到的,这次的课程设计采用了与制作机器人一样的方法,分模块法,将每一个单独的功能模块进行单独调试,之后再进行组装总体调试。 本有想过加一些高级芯片,例如单片机之类的,但由于加上之后课程设计就会略显简单,而要详细介绍芯片又会很复杂,故弃之。本设计为了能够达到比较精确的振荡频率,特别对其参数进行了精确的计算。能够产生比较准确的振荡频率,从而能够精确的计时和快速的校时。实现了电子计时器的基本功能。基本符合布线要求。我认为本次设计的最大优点是将一学期的数电课程进行了有效的压缩和总结,对于比较重要的555定时器的使用,也更加的


    注意事项

    本文(多功能电子时钟课程设计.docx)为本站会员主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

    copyright@ 2008-2023 冰点文库 网站版权所有

    经营许可证编号:鄂ICP备19020893号-2


    收起
    展开