欢迎来到冰点文库! | 帮助中心 分享价值,成长自我!
冰点文库
全部分类
  • 临时分类>
  • IT计算机>
  • 经管营销>
  • 医药卫生>
  • 自然科学>
  • 农林牧渔>
  • 人文社科>
  • 工程科技>
  • PPT模板>
  • 求职职场>
  • 解决方案>
  • 总结汇报>
  • ImageVerifierCode 换一换
    首页 冰点文库 > 资源分类 > DOCX文档下载
    分享到微信 分享到微博 分享到QQ空间

    基于FPGA与PC串口自收发通信Verilog.docx

    • 资源ID:10036285       资源大小:16.40KB        全文页数:15页
    • 资源格式: DOCX        下载积分:3金币
    快捷下载 游客一键下载
    账号登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录
    二维码
    微信扫一扫登录
    下载资源需要3金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP,免费下载
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    基于FPGA与PC串口自收发通信Verilog.docx

    1、基于FPGA与PC串口自收发通信VerilogFPGA与PC串口自收发通信实现的功能如题,就是FPGA里实现从PC接收数据,然后把接收到的数据发回去。使用的是串口UART协议进行收发数据。上位机用的是通用的串口调试助手。发送数据的波特率可选9600bps,19200bps,38400bps,57600bps,115200bps等,是可调的。发送格式为:1bit起始位,8bit数据,1bit停止位,无校验位。以下的代码有比较详细的注释,经过下载验证,存在误码率(5%),仅供学习!代码如下:(顶层模块):module my_uart_top(clk,rst_n,rs232_rx,rs232_tx)

    2、;input clk;/ 50MHz主时钟input rst_n;/低电平复位信号input rs232_rx;/ RS232接收数据信号output rs232_tx;/RS232发送数据信号wire bps_start;/接收到数据后,波特率时钟启动信号置位wire clk_bps;/ clk_bps的高电平为接收或者发送数据位的中间采样点 wire7:0 rx_data;/接收数据寄存器,保存直至下一个数据来到wire rx_int;/接收数据中断信号,接收到数据期间始终为高电平/-speed_selectspeed_select(.clk(clk),/波特率选择模块,接收和发送模块复用

    3、,不支持全双工通信.rst_n(rst_n),.bps_start(bps_start),.clk_bps(clk_bps);my_uart_rxmy_uart_rx(.clk(clk),/接收数据模块.rst_n(rst_n),.rs232_rx(rs232_rx),.clk_bps(clk_bps),.bps_start(bps_start),.rx_data(rx_data),.rx_int(rx_int);my_uart_txmy_uart_tx(.clk(clk),/发送数据模块.rst_n(rst_n),.clk_bps(clk_bps),.rx_data(rx_data),.rx

    4、_int(rx_int),.rs232_tx(rs232_tx),.bps_start(bps_start);endmodulemodule speed_select(clk,rst_n,bps_start,clk_bps);input clk;/ 50MHz主时钟input rst_n;/低电平复位信号input bps_start;/接收到数据后,波特率时钟启动信号置位output clk_bps;/ clk_bps的高电平为接收或者发送数据位的中间采样点 parameter bps9600 = 5207,/波特率为9600bpsbps19200 = 2603,/波特率为19200bpsb

    5、ps38400 = 1301,/波特率为38400bpsbps57600 = 867,/波特率为57600bpsbps115200= 433;/波特率为115200bpsparameter bps9600_2 = 2603,bps19200_2= 1301,bps38400_2= 650,bps57600_2= 433,bps115200_2 = 216;reg12:0 bps_para;/分频计数最大值reg12:0 bps_para_2;/分频计数的一半reg12:0 cnt;/分频计数reg clk_bps_r;/波特率时钟寄存器/-reg2:0 uart_ctrl;/ uart波特率选

    6、择寄存器/-always (posedge clk or negedge rst_n) beginif(!rst_n) begin uart_ctrl = 3d0;/默认波特率为9600bpsendelse begincase (uart_ctrl)/波特率设置3d0:beginbps_para = bps9600;bps_para_2 = bps9600_2;end3d1:beginbps_para = bps19200;bps_para_2 = bps19200_2;end3d2:beginbps_para = bps38400;bps_para_2 = bps38400_2;end3d3

    7、:beginbps_para = bps57600;bps_para_2 = bps57600_2;end3d4:beginbps_para = bps115200;bps_para_2 = bps115200_2;enddefault: ;endcaseendendalways (posedge clk or negedge rst_n)if(!rst_n) cnt = 13d0;else if(cntbps_para & bps_start) cnt = cnt+1b1;/波特率时钟计数启动else cnt = 13d0;always (posedge clk or negedge rst

    8、_n)if(!rst_n) clk_bps_r = 1b0;else if(cnt=bps_para_2 & bps_start) clk_bps_r = 1b1;/ clk_bps_r高电平为接收或者发送数据位的中间采样点 else clk_bps_r = 1b0;assign clk_bps = clk_bps_r;endmodulemodule my_uart_rx(clk,rst_n,rs232_rx,clk_bps,bps_start,rx_data,rx_int);input clk;/ 50MHz主时钟input rst_n;/低电平复位信号input rs232_rx;/ RS

    9、232接收数据信号input clk_bps;/ clk_bps的高电平为接收或者发送数据位的中间采样点output bps_start;/接收到数据后,波特率时钟启动信号置位output7:0 rx_data;/接收数据寄存器,保存直至下一个数据来到 output rx_int;/接收数据中断信号,接收到数据期间始终为高电平/-reg rs232_rx0,rs232_rx1,rs232_rx2;/接收数据寄存器,滤波用wire neg_rs232_rx;/表示数据线接收到下降沿always (posedge clk or negedge rst_n) beginif(!rst_n) begi

    10、nrs232_rx0 = 1b1;rs232_rx1 = 1b1;rs232_rx2 = 1b1;endelse beginrs232_rx0 = rs232_rx;rs232_rx1 = rs232_rx0;rs232_rx2 = rs232_rx1;endendassign neg_rs232_rx = rs232_rx2 & rs232_rx1;/接收到下降沿后neg_rs232_rx置高一个时钟周期/-reg bps_start_r;reg3:0num;/移位次数reg rx_int;/接收数据中断信号,接收到数据期间始终为高电平always (posedge clk or neged

    11、ge rst_n) beginif(!rst_n) beginbps_start_r = 1bz;rx_int = 1b0;endelse if(neg_rs232_rx) beginbps_start_r = 1b1;/启动接收数据 rx_int = 1b1;/接收数据中断信号使能endelse if(num=4d12) beginbps_start_r = 1bz;/数据接收完毕rx_int = 1b0;/接收数据中断信号关闭endend assign bps_start = bps_start_r;/-reg7:0 rx_data_r;/接收数据寄存器,保存直至下一个数据来到/-reg7

    12、:0rx_temp_data;/但前接收数据寄存器reg rx_data_shift;/数据移位标志always (posedge clk or negedge rst_n) beginif(!rst_n) beginrx_data_shift = 1b0;rx_temp_data = 8d0;num = 4d0;rx_data_r = 8d0;endelse if(rx_int) begin/接收数据处理if(clk_bps) begin/读取并保存数据,接收数据为一个起始位,8bit数据,一个结束位rx_data_shift = 1b1;num = num+1b1;if(num=4d8)

    13、rx_temp_data7 = rs232_rx;/锁存9bit(1bit起始位,8bit数据)endelse if(rx_data_shift) begin/数据移位处理rx_data_shift = 1b0;if(num=4d8) rx_temp_data 1b1;/移位8次,第1bit起始位移除,剩下8bit正好时接收数据else if(num=4d12) beginnum = 4d0;/接收到STOP位后结束,num清零rx_data_r = rx_temp_data;/把数据锁存到数据寄存器rx_data中endendendendassign rx_data = rx_data_r;

    14、endmodulemodule my_uart_tx(clk,rst_n,clk_bps,rx_data,rx_int,rs232_tx,bps_start);input clk;/ 50MHz主时钟input rst_n;/低电平复位信号input clk_bps;/ clk_bps的高电平为接收或者发送数据位的中间采样点input7:0 rx_data;/接收数据寄存器input rx_int;/接收数据中断信号,接收到数据期间始终为高电平,在次利用它的下降沿来启动发送数据output rs232_tx;/ RS232发送数据信号output bps_start;/接收或者要发送数据,波特

    15、率时钟启动信号置位/-reg rx_int0,rx_int1,rx_int2;/rx_int信号寄存器,捕捉下降沿滤波用wire neg_rx_int;/ rx_int下降沿标志位always (posedge clk or negedge rst_n) beginif(!rst_n) beginrx_int0 = 1b0;rx_int1 = 1b0;rx_int2 = 1b0;endelse beginrx_int0 = rx_int;rx_int1 = rx_int0;rx_int2 = rx_int1;endendassign neg_rx_int =rx_int1 & rx_int2;

    16、/捕捉到下降沿后,neg_rx_int拉地保持一个主时钟周期/-reg7:0 tx_data;/待发送数据的寄存器/-reg bps_start_r;reg tx_en;/发送数据使能信号,高有效reg3:0 num;always (posedge clk or negedge rst_n) beginif(!rst_n) beginbps_start_r = 1bz;tx_en = 1b0;tx_data = 8d0;endelse if(neg_rx_int) begin/接收数据完毕,准备把接收到的数据发回去bps_start_r = 1b1;tx_data = rx_data;/把接收

    17、到的数据存入发送数据寄存器tx_en = 1b1;/进入发送数据状态中endelse if(num=4d11) begin/数据发送完成,复位bps_start_r = 1bz;tx_en = 1b0;endendassign bps_start = bps_start_r;/-reg rs232_tx_r;always (posedge clk or negedge rst_n) beginif(!rst_n) beginnum = 4d0;rs232_tx_r = 1b1;endelse if(tx_en) beginif(clk_bps)beginnum = num+1b1;case (

    18、num)4d0:rs232_tx_r = 1b0; /发送起始位4d1:rs232_tx_r = tx_data0;/发送bit04d2:rs232_tx_r = tx_data1;/发送bit14d3: rs232_tx_r = tx_data2;/发送bit24d4: rs232_tx_r = tx_data3;/发送bit34d5: rs232_tx_r = tx_data4;/发送bit44d6: rs232_tx_r = tx_data5;/发送bit54d7:rs232_tx_r = tx_data6;/发送bit64d8: rs232_tx_r = tx_data7;/发送bit74d9: rs232_tx_r = 1b0;/发送结束位default: rs232_tx_r = 1b1;endcaseendelse if(num=4d11) num = 4d0;/复位endendassign rs232_tx = rs232_tx_r;endmodule如有侵权请联系告知删除,感谢你们的配合!


    注意事项

    本文(基于FPGA与PC串口自收发通信Verilog.docx)为本站会员主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

    copyright@ 2008-2023 冰点文库 网站版权所有

    经营许可证编号:鄂ICP备19020893号-2


    收起
    展开